Merge from trunk, copyright date. ------------------------------------------------------------------------ r839 | wsnyder | 2012-12-21 07:08:01 -0800 (Fri, 21 Dec 2012) | 5 lines 2012-12-21 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-sig-tieoff): Fix string error on AUTORESET with colon define, bug594. Reported by Andrew Hou. ------------------------------------------------------------------------ r838 | wsnyder | 2012-12-17 08:05:13 -0800 (Mon, 17 Dec 2012) | 1 line Commentary ------------------------------------------------------------------------ r827 | wsnyder | 2012-10-31 08:02:31 -0700 (Wed, 31 Oct 2012) | 1 line Commentary ------------------------------------------------------------------------ r824 | wsnyder | 2012-10-08 14:14:50 -0700 (Mon, 08 Oct 2012) | 5 lines 2012-10-08 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-decls): Fix parameters confusing AUTOINST interfaces, bug565. Reported by Leith Johnson. ------------------------------------------------------------------------ r823 | wsnyder | 2012-10-08 03:41:56 -0700 (Mon, 08 Oct 2012) | 57 lines Merge from trunk ------------------------------------------------------------ revno: 110394 committer: Glenn Morris < rgm at gnu.org > branch nick: trunk timestamp: Sat 2012-10-06 13:30:26 -0700 message: Add missing :version tags * profiler.el (profiler): Add missing group :version tag. * avoid.el (mouse-avoidance-banish-position): * proced.el (proced-renice-command): * calc/calc.el (calc-ensure-consistent-units): * calendar/icalendar.el (icalendar-import-format-uid): * net/tramp.el (tramp-save-ad-hoc-proxies): * progmodes/bug-reference.el (bug-reference-bug-regexp): * progmodes/flymake.el (flymake-error-bitmap) (flymake-warning-bitmap, flymake-fringe-indicator-position): * progmodes/sh-script.el (sh-indent-after-continuation): * progmodes/verilog-mode.el (verilog-auto-template-warn-unused) (verilog-before-save-font-hook, verilog-after-save-font-hook): * progmodes/vhdl-mode.el (vhdl-makefile-default-targets) (vhdl-array-index-record-field-in-sensitivity-list) (vhdl-indent-comment-like-next-code-line): * textmodes/reftex-vars.el (reftex-ref-style-alist) (reftex-ref-macro-prompt, reftex-ref-style-default-list) (reftex-cite-key-separator, reftex-create-bibtex-header) (reftex-create-bibtex-footer): * textmodes/rst.el (rst-new-adornment-down, rst-indent-field) (rst-indent-literal-normal, rst-indent-literal-minimized) (rst-indent-comment): Add missing custom :version tags. * cedet/semantic/complete.el (semantic-displayor-tooltip-mode) (semantic-displayor-tooltip-initial-max-tags) (semantic-displayor-tooltip-max-tags): Add missing custom :version tags. * cedet/ede/linux.el (project-linux): Add missing group :version tag. * cedet/semantic/complete.el (semantic-displayor-tooltip-max-tags): Doc fix. * erc/erc.el (erc-lurker): * erc/erc-desktop-notifications.el (erc-notifications): Add missing group :version tags. * gnus/gnus-notifications.el (gnus-notifications): Add missing group :version tag. * gnus/gnus-msg.el (gnus-gcc-pre-body-encode-hook) (gnus-gcc-post-body-encode-hook): * gnus/gnus-sync.el (gnus-sync-lesync-name) (gnus-sync-lesync-install-topics): Add missing custom :version tags. ------------------------------------------------------------ revno: 110016.1.16 [merge] committer: Kenichi Handa < handa at gnu.org > branch nick: work timestamp: Sat 2012-10-06 21:55:09 +0900 message: merge trunk ------------------------------------------------------------------------ r822 | wsnyder | 2012-10-08 03:37:35 -0700 (Mon, 08 Oct 2012) | 16 lines Merge from trunk ------------------------------------------------------------ revno: 110366 committer: Paul Eggert < eggert at cs.ucla.edu > branch nick: trunk timestamp: Thu 2012-10-04 22:57:24 -0700 message: Spelling fixes. ------------------------------------------------------------ revno: 110016.1.12 [merge] committer: Kenichi Handa < handa at gnu.org > branch nick: work timestamp: Sun 2012-09-30 23:39:46 +0900 message: merge trunk ------------------------------------------------------------------------ r821 | wsnyder | 2012-10-03 12:44:30 -0700 (Wed, 03 Oct 2012) | 9 lines Merge from trunk ------------------------------------------------------------ revno: 110230 committer: Chong Yidong < cyd at gnu.org > branch nick: trunk timestamp: Fri 2012-09-28 13:19:55 +0800 message: * verilog-mode.el (verilog-auto-inst-interfaced-ports): Add :version tag. ------------------------------------------------------------------------ r820 | wsnyder | 2012-09-17 17:43:10 -0700 (Mon, 17 Sep 2012) | 9 lines Merge from Emacs trunk ------------------------------------------------------------ revno: 110062 committer: Chong Yidong < cyd at gnu.org > branch nick: trunk timestamp: Mon 2012-09-17 13:41:04 +0800 message: Update docstrings and comments to use "init file" terminology. ------------------------------------------------------------------------ r816 | wsnyder | 2012-09-06 06:21:26 -0700 (Thu, 06 Sep 2012) | 5 lines 2012-09-06 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto): Fix AUTO parameters with parenthesis arguments, bug558. Reported by Matt Martin. ------------------------------------------------------------------------ r815 | wsnyder | 2012-08-20 17:25:17 -0700 (Mon, 20 Aug 2012) | 5 lines 2012-08-20 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-inst-interfaced-ports): Make default nil, as more standard behavior, bug540. ------------------------------------------------------------------------ r813 | wsnyder | 2012-08-19 16:27:57 -0700 (Sun, 19 Aug 2012) | 1 line AUTOINOUTMODPORT/AUTOASSIGNMODPORT - Use regexp as modport name and other fixes. ------------------------------------------------------------------------ r812 | wsnyder | 2012-08-17 11:08:58 -0700 (Fri, 17 Aug 2012) | 1 line Previous commit: Sort assign statements ------------------------------------------------------------------------ r811 | wsnyder | 2012-08-17 11:02:55 -0700 (Fri, 17 Aug 2012) | 7 lines 2012-08-17 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto, verilog-auto-assign-modport) (verilog-auto-inout-modport): Add AUTOASSIGNMODPORT and AUTOINOUTMODPORT for UVM interface module shell generation, bug539. Reported by Brad Dobbie. ------------------------------------------------------------------------ r810 | wsnyder | 2012-07-24 07:40:45 -0700 (Tue, 24 Jul 2012) | 6 lines 2012-07-24 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-inout-param): Support AUTOINOUTPARAM for copying parameters from another module. Reported by Dan Katz. ------------------------------------------------------------------------ r809 | wsnyder | 2012-07-15 08:42:45 -0700 (Sun, 15 Jul 2012) | 6 lines 2012-07-15 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-batch-delete-trailing-whitespace): Create verilog-batch-delete-trailing-whitespace, bug529. Reported by Brad Dobbie. ------------------------------------------------------------------------ r804 | wsnyder | 2012-05-31 08:21:47 -0700 (Thu, 31 May 2012) | 5 lines 2012-05-31 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el: Support expanding $clog2 in AUTOINST, bug522. Reported by Brad Dobbie. ------------------------------------------------------------------------ r802 | wsnyder | 2012-05-29 05:18:12 -0700 (Tue, 29 May 2012) | 9 lines Merge from trunk. revno: 108414 committer: Paul Eggert < eggert at cs.ucla.edu > branch nick: trunk timestamp: Mon 2012-05-28 23:16:49 -0700 message: Spelling fixes. ------------------------------------------------------------------------ r801 | wsnyder | 2012-05-04 14:06:34 -0700 (Fri, 04 May 2012) | 12 lines 2012-05-04 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-ascii-enum, verilog-auto-inout) (verilog-auto-input, verilog-auto-insert-lisp) (verilog-auto-output, verilog-auto-output-every, verilog-auto-reg) (verilog-auto-reg-input, verilog-auto-tieoff, verilog-auto-undef) (verilog-auto-unused, verilog-auto-wire) (verilog-forward-or-insert-line): Fix AUTOs with no trailing newline. Reported by Andrew Jones. (verilog-delete-trailing-whitespace): Add trailing newline if missing. ------------------------------------------------------------------------ r800 | wsnyder | 2012-04-23 05:09:05 -0700 (Mon, 23 Apr 2012) | 11 lines Merge from trunk: Tweak defgroups to improve presentation in customization buffers. -------------------- revno: 107994 committer: Chong Yidong < cyd at gnu.org > branch nick: trunk timestamp: Sun 2012-04-22 21:58:00 +0800 message: * progmodes/verilog-mode.el (verilog-mode): Tweak defgroups to improve presentation in customization buffers. ------------------------------------------------------------------------ r799 | wsnyder | 2012-04-22 05:54:52 -0700 (Sun, 22 Apr 2012) | 12 lines Merge from trunk: Don't add modes to which-func-modes if already set to t. -------------------- revno: 107985 committer: Juanma Barranquero < lekktu at gmail.com > branch nick: trunk timestamp: Sat 2012-04-21 18:57:49 +0200 message: * lisp/progmodes/verilog-mode.el (verilog-mode): Check whether which-func-modes is t before adding verilog-mode. Reported by Andy Moreton < andrewjmoreton at gmail.com >. ------------------------------------------------------------------------ r798 | wsnyder | 2012-04-10 04:11:10 -0700 (Tue, 10 Apr 2012) | 16 lines Merge from trunk: Remove * characters from the front of variable docstrings. ------------------------------------------------------------ revno: 107813 committer: Chong Yidong < cyd at gnu.org > branch nick: trunk timestamp: Mon 2012-04-09 21:05:48 +0800 message: Remove * characters from the front of variable docstrings. ------------------------------------------------------------ revno: 106660.1.14 [merge] committer: Kenichi Handa < handa at m17n.org > branch nick: work timestamp: Wed 2012-02-15 09:36:50 +0900 message: merge trunk ------------------------------------------------------------------------ r796 | wsnyder | 2012-04-09 07:39:06 -0700 (Mon, 09 Apr 2012) | 5 lines 2012-04-09 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (font-lock-keywords): Fix mis-highligting auto. Reported by Craig Barner. ------------------------------------------------------------------------ r795 | wsnyder | 2012-04-07 13:54:50 -0700 (Sat, 07 Apr 2012) | 1 line Fix previous commit using delete-dups, which is not in 21.1 ------------------------------------------------------------------------ r793 | wsnyder | 2012-04-05 18:07:52 -0700 (Thu, 05 Apr 2012) | 5 lines 2012-04-05 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto, verilog-auto-undef): Add AUTOUNDEF to remove defines from global name space. Reported by Dan Dever. ------------------------------------------------------------------------ r792 | wsnyder | 2012-04-05 17:16:14 -0700 (Thu, 05 Apr 2012) | 6 lines 2012-04-05 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-reset, verilog-auto-reset-widths) (verilog-auto-tieoff): Support using unbased numbers for AUTORESET and AUTOTIEOFF. ------------------------------------------------------------------------ r791 | wsnyder | 2012-04-05 04:21:59 -0700 (Thu, 05 Apr 2012) | 4 lines 2012-04-05 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-submit-bug-report): Update variable list. ------------------------------------------------------------------------ r790 | wsnyder | 2012-03-05 18:15:56 -0800 (Mon, 05 Mar 2012) | 4 lines 2012-03-05 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-lineup): Make variable safe. ------------------------------------------------------------------------ r789 | wsnyder | 2012-02-15 10:02:55 -0800 (Wed, 15 Feb 2012) | 6 lines 2012-02-15 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-auto-params): Fix AUTOINPUT regexps containing parenthesis from not matching. Reported by Michael Rytting. ------------------------------------------------------------------------ r788 | wsnyder | 2012-02-14 06:01:12 -0800 (Tue, 14 Feb 2012) | 9 lines 2012-02-14 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-template-lint): Fix hash error when linting modules with no used templates. * verilog-mode.el (verilog-warn, verilog-warn-error) (verilog-warn-fatal): When non-interactive report multiple warnings before exiting. Suggested by Brad Dobbie. ------------------------------------------------------------------------ r787 | wsnyder | 2012-02-13 08:31:21 -0800 (Mon, 13 Feb 2012) | 7 lines 2012-02-13 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-template-lint), (verilog-auto-template-warn-unused, verilog-read-auto-template): Add `verilog-auto-template-warn-unused' to report unused template errors. Reported by Brad Dobbie. ------------------------------------------------------------------------ r786 | wsnyder | 2012-02-13 05:59:43 -0800 (Mon, 13 Feb 2012) | 1 line Commentary ------------------------------------------------------------------------ r785 | wsnyder | 2012-02-13 05:22:39 -0800 (Mon, 13 Feb 2012) | 5 lines 2012-02-13 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-decls): Fix AUTOWIRE etc on supply0, supply1 type nets, bug438. Reported by Vns Blore. ------------------------------------------------------------------------ r784 | wsnyder | 2012-02-13 05:08:11 -0800 (Mon, 13 Feb 2012) | 9 lines 2012-02-13 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-inout-module, verilog-auto-reg) (verilog-read-decls, verilog-read-sub-decls-sig) (verilog-signals-edit-wire-reg, verilog-signals-with): Fix passing of Verilog data types in ANSI input/output ports such as "output logic" into the AUTOs. Special case "wire" and "reg" for backwards compatibility presuming Verilog 2001. ------------------------------------------------------------------------ r783 | wsnyder | 2012-02-12 07:05:49 -0800 (Sun, 12 Feb 2012) | 10 lines Merge from emacs trunk: ------------------------------------------------------------ revno: 107248 committer: Glenn Morris < rgm at gnu.org > branch nick: trunk timestamp: Sat 2012-02-11 14:13:29 -0800 message: Add missing :version tags to new defgroups and defcustoms ------------------------------------------------------------------------ r782 | wsnyder | 2012-02-10 19:49:49 -0800 (Fri, 10 Feb 2012) | 6 lines 2012-02-10 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-ascii-enum, verilog-read-decls) (verilog-read-defines): Back out r744 as "snps" not well supported as alias for "synopsys" directives. Add "auto enum" as alias. ------------------------------------------------------------------------ r781 | wsnyder | 2012-02-10 19:00:08 -0800 (Fri, 10 Feb 2012) | 9 lines 2012-02-10 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-alw-get-inputs) (verilog-alw-get-outputs-delayed) (verilog-alw-get-outputs-immediate, verilog-alw-get-temps) (verilog-alw-get-uses-delayed, verilog-alw-new): Internals: Use vector instead of array. No functional change. ------------------------------------------------------------------------ r779 | wsnyder | 2012-02-10 16:26:06 -0800 (Fri, 10 Feb 2012) | 1 line Internals: Revert last commit ------------------------------------------------------------------------ r778 | wsnyder | 2012-02-07 12:10:17 -0800 (Tue, 07 Feb 2012) | 1 line Internals: Use 2 variables for declaration parsing, no functional change. ------------------------------------------------------------------------ r777 | wsnyder | 2012-02-07 11:51:41 -0800 (Tue, 07 Feb 2012) | 5 lines 2012-02-07 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-preprocess): Fix replication of preprocess output. Reported by Brad Dobbie. ------------------------------------------------------------------------ r776 | wsnyder | 2012-02-04 18:51:20 -0800 (Sat, 04 Feb 2012) | 1 line Remove dead code. ------------------------------------------------------------------------ r775 | wsnyder | 2012-02-04 18:37:58 -0800 (Sat, 04 Feb 2012) | 1 line Commentary ------------------------------------------------------------------------ r774 | wsnyder | 2012-02-02 07:28:01 -0800 (Thu, 02 Feb 2012) | 6 lines 2012-02-02 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-decls, verilog-read-defines): Fix "snps" to be an alias for "synopsys" directives. Reported by Dan Katz. ------------------------------------------------------------------------ r773 | wsnyder | 2012-01-12 08:27:16 -0800 (Thu, 12 Jan 2012) | 6 lines 2012-01-12 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-inst-interfaced-ports): Created verilog-auto-inst-interfaced-ports, bug429. Reported by Julian Gorfajn. ------------------------------------------------------------------------ r772 | wsnyder | 2012-01-11 15:36:22 -0800 (Wed, 11 Jan 2012) | 9 lines Merge from GNU: revno: 106749 committer: Paul Eggert < eggert at cs.ucla.edu > branch nick: trunk timestamp: Fri 2011-12-30 17:27:15 -0800 message: Spelling fixes. ------------------------------------------------------------------------ r771 | wsnyder | 2012-01-11 15:34:41 -0800 (Wed, 11 Jan 2012) | 9 lines Merge from GNU: revno: 106782 committer: Glenn Morris < rgm at gnu.org > branch nick: trunk timestamp: Thu 2012-01-05 01:46:05 -0800 message: Add 2012 to FSF copyright years for Emacs files ------------------------------------------------------------------------ r770 | wsnyder | 2011-12-19 08:44:23 -0800 (Mon, 19 Dec 2011) | 1 line Restore filename in header comment to appease GNU ------------------------------------------------------------------------ r769 | wsnyder | 2011-12-19 08:35:20 -0800 (Mon, 19 Dec 2011) | 1 line Spacing fixes ------------------------------------------------------------------------ r768 | wsnyder | 2011-12-19 08:34:52 -0800 (Mon, 19 Dec 2011) | 16 lines Merge from GNU. revno: 106690 committer: Paul Eggert < eggert at cs.ucla.edu > branch nick: trunk timestamp: Fri 2011-12-16 22:51:13 -0800 message: Spelling fixes. revno: 106697 committer: Paul Eggert < eggert at cs.ucla.edu > branch nick: trunk timestamp: Sun 2011-12-18 22:21:24 -0800 message: Spelling fixes. ------------------------------------------------------------------------ r767 | mac | 2011-12-14 15:04:17 -0800 (Wed, 14 Dec 2011) | 2 lines eliminate blank line ------------------------------------------------------------------------ r736 | mac | 2011-12-10 23:16:17 -0800 (Sat, 10 Dec 2011) | 7 lines 2011-12-10 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-pretty-expr): Don't line up assignment operations to the test and increment in if and for loops (verilog-extended-complete-re, verilog-complete-reg): Change so that DPI inport functions don't look like fuction declarations ------------------------------------------------------------------------ r735 | wsnyder | 2011-12-08 09:50:31 -0800 (Thu, 08 Dec 2011) | 8 lines 2011-12-08 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-after-save-font-hook) (verilog-before-save-font-hook): New variable. (verilog-modi-cache-results, verilog-save-font-mod-hooked) (verilog-save-font-mods): Wrap disabling fontification, reported by David Rogoff. ------------------------------------------------------------------------ r734 | wsnyder | 2011-11-30 14:15:50 -0800 (Wed, 30 Nov 2011) | 4 lines 2011-11-30 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el: Checkdoc and spelling fixes. ------------------------------------------------------------------------ r733 | wsnyder | 2011-11-29 08:20:00 -0800 (Tue, 29 Nov 2011) | 5 lines 2011-11-29 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-do-indent, verilog-pretty-declarations-auto, verilog-sk-def-reg): Fix obeying `verilog-auto-lineup', bug305. Reported by Pierre-David Pfister. ------------------------------------------------------------------------ r732 | wsnyder | 2011-11-29 08:03:30 -0800 (Tue, 29 Nov 2011) | 6 lines 2011-11-29 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-set-auto-endcomments): Fix endtask auto comments outside of class declarations, bug292. Reported by Kevin Heilman. ------------------------------------------------------------------------ r731 | wsnyder | 2011-11-29 07:40:57 -0800 (Tue, 29 Nov 2011) | 1 line Tests: Show bug290 ------------------------------------------------------------------------ r730 | wsnyder | 2011-11-29 07:01:14 -0800 (Tue, 29 Nov 2011) | 5 lines 2011-11-29 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-decls): Fix 'parameter type' not appearing in AUTOINSTPARAM, bug340. Reported by Jonathan Greenlaw. ------------------------------------------------------------------------ r729 | wsnyder | 2011-11-29 06:12:00 -0800 (Tue, 29 Nov 2011) | 5 lines 2011-11-29 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-defines): Increase forward-comment depth limit. Reported by Dan Dever. ------------------------------------------------------------------------ r728 | wsnyder | 2011-11-29 06:01:27 -0800 (Tue, 29 Nov 2011) | 6 lines 2011-11-29 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto, verilog-auto-logic) (verilog-auto-logic-setup): Fix when AUTOLOGIC present to properly do AUTOINPUTs, bug411. Reported by Jonathan Greenlaw. ------------------------------------------------------------------------ r727 | wsnyder | 2011-11-28 13:20:13 -0800 (Mon, 28 Nov 2011) | 5 lines 2011-11-28 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-auto-lisp): Avoid syntax-ppss warning on AUTOLISP. Reported by David Kravitz. ------------------------------------------------------------------------ r726 | wsnyder | 2011-11-27 16:20:40 -0800 (Sun, 27 Nov 2011) | 1 line Merge from trunk - spelling ------------------------------------------------------------------------ r725 | wsnyder | 2011-11-27 08:13:07 -0800 (Sun, 27 Nov 2011) | 7 lines revno: 106422 committer: Paul Eggert < eggert at cs.ucla.edu > branch nick: trunk timestamp: Sat 2011-11-19 01:18:31 -0800 message: Spelling fixes. ------------------------------------------------------------------------ r724 | wsnyder | 2011-11-27 08:12:10 -0800 (Sun, 27 Nov 2011) | 7 lines revno: 106402 committer: Paul Eggert < eggert at cs.ucla.edu > branch nick: trunk timestamp: Thu 2011-11-17 01:09:20 -0800 message: Spelling fixes. ------------------------------------------------------------------------ r723 | wsnyder | 2011-11-27 08:11:06 -0800 (Sun, 27 Nov 2011) | 8 lines From trunk revno: 106382 committer: Paul Eggert < eggert at cs.ucla.edu > branch nick: trunk timestamp: Mon 2011-11-14 23:55:13 -0800 message: Spelling fixes. ------------------------------------------------------------------------ r722 | wsnyder | 2011-10-28 15:35:56 -0700 (Fri, 28 Oct 2011) | 5 lines 2011-10-28 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-defines): Fix reading parameters with embedded comments. Reported by Ray Stevens. ------------------------------------------------------------------------ r721 | wsnyder | 2011-10-27 12:48:17 -0700 (Thu, 27 Oct 2011) | 6 lines 2011-10-27 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-calc-1, verilog-fork-wait-re) (verilog-forward-sexp, verilog-wait-fork-re): Fix indentation of "wait fork", bug407. Reported by Tim Holt. ------------------------------------------------------------------------ r720 | wsnyder | 2011-10-27 11:47:28 -0700 (Thu, 27 Oct 2011) | 7 lines 2011-10-27 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto): Fix AUTOing a upper module then AUTOing module instantiated by upper module causing wrong expansion until AUTOed a second time. Reported by K C Buckenmaier. ------------------------------------------------------------------------ r719 | wsnyder | 2011-09-30 05:06:50 -0700 (Fri, 30 Sep 2011) | 5 lines 2011-09-30 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-diff-auto): Fix showing .* as a difference when `verilog-auto-star-save' off. Reported by Dan Dever. ------------------------------------------------------------------------ r718 | wsnyder | 2011-09-13 07:16:07 -0700 (Tue, 13 Sep 2011) | 9 lines 2011-09-13 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-reset) (verilog-read-always-signals, verilog-auto-reset-blocking-in-non): Fix AUTORESET including temporary signals in reset list if verilog-auto-reset-blocking-in-non is nil, and match assignment style to each signal's assignment type, bug381. Reported by Thomas Esposito. ------------------------------------------------------------------------ r717 | wsnyder | 2011-09-07 08:54:38 -0700 (Wed, 07 Sep 2011) | 5 lines 2011-09-07 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-sk-uvm-class, verilog-uvm-begin-re, verilog-uvm-end-re, verilog-uvm-statement-re): Support UVM indentation and highlighting, including UVM 1.1 keywords. ------------------------------------------------------------------------ r716 | wsnyder | 2011-09-07 08:19:22 -0700 (Wed, 07 Sep 2011) | 5 lines 2011-09-07 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-sk-uvm-class, verilog-uvm-begin-re, verilog-uvm-end-re, verilog-uvm-statement-re): Support UVM indentation and highlighting, with old OVM keywords only. ------------------------------------------------------------------------ r714 | wsnyder | 2011-09-05 09:48:06 -0700 (Mon, 05 Sep 2011) | 1 line Commentary ------------------------------------------------------------------------ r713 | wsnyder | 2011-08-24 11:43:12 -0700 (Wed, 24 Aug 2011) | 6 lines 2011-08-24 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-tieoff) (verilog-auto-tieoff-declaration): Support AUTOTIEOFF creating non-wire data types. Suggested by Jonathan Greenlaw. ------------------------------------------------------------------------ r712 | wsnyder | 2011-08-24 11:29:47 -0700 (Wed, 24 Aug 2011) | 11 lines 2011-08-24 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-insert-lisp) (verilog-delete-to-paren, verilog-forward-sexp-cmt) (verilog-forward-sexp-ign-cmt) (verilog-inject-sense) (verilog-read-inst-pins, verilog-read-sub-decls) (verilog-read-sub-decls-line): Fix mismatching parenthesis inside commented out code when deleting AUTOINST, bug383. Reported by Jonathan Greenlaw. ------------------------------------------------------------------------ r706 | wsnyder | 2011-08-09 12:28:09 -0700 (Tue, 09 Aug 2011) | 5 lines 2011-08-09 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-ascii-enum): Fix AUTOASCIIENUM one-hot with non-numeric vector width. Reported by Alex Reed. ------------------------------------------------------------------------ r705 | wsnyder | 2011-08-04 13:31:44 -0700 (Thu, 04 Aug 2011) | 6 lines 2011-08-04 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-ascii-enum): Add "onehot" option to work around not detecting signals with parameter widths. Reported by Alex Reed. ------------------------------------------------------------------------ r704 | wsnyder | 2011-08-02 09:57:41 -0700 (Tue, 02 Aug 2011) | 1 line Patch rev703 to work with XEmacs ------------------------------------------------------------------------ r703 | wsnyder | 2011-07-21 07:20:50 -0700 (Thu, 21 Jul 2011) | 6 lines 2011-07-21 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-delete-trailing-whitespace): With `verilog-auto-delete-trailing-whitespace' remove trailing whitespace in auto expansion, bug371. Reported by Brad Dobbie. ------------------------------------------------------------------------ r702 | wsnyder | 2011-07-08 08:21:37 -0700 (Fri, 08 Jul 2011) | 6 lines 2011-07-08 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-run-hooks, verilog-scan-cache-flush, verilog-syntax-ppss): Fix verilog-scan-cache corruption when running user AUTO expansion hooks that call indentation routines. ------------------------------------------------------------------------ r701 | wsnyder | 2011-07-02 08:22:36 -0700 (Sat, 02 Jul 2011) | 5 lines 2011-07-02 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-simplify-range-expression): Fix typo ignoring lower case identifiers. ------------------------------------------------------------------------ r700 | wsnyder | 2011-07-02 06:54:15 -0700 (Sat, 02 Jul 2011) | 5 lines 2011-07-02 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-delete-auto): Fix delete-autos to also remove user created automatics, as long as they start with AUTO. ------------------------------------------------------------------------ r699 | wsnyder | 2011-06-28 09:00:20 -0700 (Tue, 28 Jun 2011) | 6 lines 2011-06-28 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-batch-diff-auto, verilog-diff-auto, verilog-diff-function): Add `verilog-diff-auto' and bind to "C-c?" to report differences in AUTO expansion, ignoring spaces. ------------------------------------------------------------------------ r698 | wsnyder | 2011-06-25 05:10:42 -0700 (Sat, 25 Jun 2011) | 9 lines Merge from trunk rev 104698 revno: 104698 committer: Juanma Barranquero < lekktu at gmail.com > branch nick: trunk timestamp: Fri 2011-06-24 14:13:35 +0200 message: lisp/progmodes/verilog-mode.el (verilog-mode): Fix test for bound variable. ------------------------------------------------------------------------ r696 | wsnyder | 2011-06-24 15:13:07 -0700 (Fri, 24 Jun 2011) | 11 lines 2011-06-24 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-backward-syntactic-ws-quick, verilog-beg-of-defun-quick, verilog-in-paren-quick, verilog-re-search-backward-quick, verilog-re-search-forward-quick, verilog-syntax-ppss): Fix calling `syntax-ppss' when inside auto expansions as the ppss hook is disabled and its cache will get corrupt, causing AUTOS not to expand. Instead use only -quick functions. ------------------------------------------------------------------------ r695 | wsnyder | 2011-06-24 09:25:31 -0700 (Fri, 24 Jun 2011) | 4 lines Part of previous commit. * verilog-mode.el (verilog-scan-region): Fix scanning over escaped quotes. ------------------------------------------------------------------------ r694 | wsnyder | 2011-06-24 06:47:52 -0700 (Fri, 24 Jun 2011) | 4 lines 2011-06-24 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-scan-region): Fix scanning over escaped quotes. ------------------------------------------------------------------------ r693 | wsnyder | 2011-06-23 11:02:06 -0700 (Thu, 23 Jun 2011) | 7 lines 2011-06-23 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-inside-comment-or-string-p, verilog-inside-comment-p, verilog-re-search-backward-quick, verilog-re-search-forward-quick, verilog-scan): verilog-scan and related functions now ignore strings, to fix misparsing of strings with magic comments embedded in them. ------------------------------------------------------------------------ r692 | wsnyder | 2011-06-23 06:47:18 -0700 (Thu, 23 Jun 2011) | 1 line Checkdoc cleanups ------------------------------------------------------------------------ r691 | wsnyder | 2011-06-21 14:35:37 -0700 (Tue, 21 Jun 2011) | 6 lines 2011-06-21 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-auto-template): Fix 'verilog-auto-inst-template-numbers' with extra newline before (. Reported by Brad Dobbie. ------------------------------------------------------------------------ r690 | wsnyder | 2011-06-17 11:29:08 -0700 (Fri, 17 Jun 2011) | 6 lines 2011-06-17 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-auto-template): Fix 'verilog-auto-inst-template-numbers' with comments. Reported by Brad Dobbie. ------------------------------------------------------------------------ r689 | wsnyder | 2011-06-17 08:30:29 -0700 (Fri, 17 Jun 2011) | 1 line Commentary ------------------------------------------------------------------------ r688 | wsnyder | 2011-06-17 08:27:38 -0700 (Fri, 17 Jun 2011) | 6 lines 2011-06-17 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-inst, verilog-auto-inst-param, verilog-auto-inst-sort): Add 'verilog-auto-inst-sort' to reduce merge conflicts with AUTOINST, bug358. Reported by Brad Dobbie. ------------------------------------------------------------------------ r687 | wsnyder | 2011-06-17 08:06:30 -0700 (Fri, 17 Jun 2011) | 1 line Missing line from last commit ------------------------------------------------------------------------ r686 | wsnyder | 2011-06-17 07:38:35 -0700 (Fri, 17 Jun 2011) | 6 lines 2011-06-17 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-inst-template-numbers): Add 'lhs' policy for debugging templates without merge conflicts, bug357. Reported by Brad Dobbie. ------------------------------------------------------------------------ r685 | wsnyder | 2011-06-17 07:11:40 -0700 (Fri, 17 Jun 2011) | 6 lines 2011-06-17 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-auto-template): Fix verilog-auto-inst-template-numbers with multiple templates. Reported by Brad Dobbie. ------------------------------------------------------------------------ r684 | wsnyder | 2011-06-10 13:02:30 -0700 (Fri, 10 Jun 2011) | 4 lines 2011-06-10 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-define-abbrev): Fix verilog-mode abbrevs to be system abbrevs so user won't be asked to save. ------------------------------------------------------------------------ r683 | wsnyder | 2011-06-10 12:59:30 -0700 (Fri, 10 Jun 2011) | 5 lines 2011-06-10 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-auto-lisp-present): Fix to start at beginning of buffer in case called outside of verilog-auto. ------------------------------------------------------------------------ r682 | wsnyder | 2011-05-24 05:52:54 -0700 (Tue, 24 May 2011) | 3 lines Merge from trunk: rev104332: Don't quote lambda expressions with `quote'. committer: Stefan Monnier < monnier at iro.umontreal.ca > ------------------------------------------------------------------------ r681 | wsnyder | 2011-05-18 11:53:35 -0700 (Wed, 18 May 2011) | 5 lines 2011-05-18 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-simplify-range-expression): Fix AUTOWIRE expanding "X-1+1" to "X-2". Reported by Matthew Myers. ------------------------------------------------------------------------ r679 | mac | 2011-04-21 07:38:36 -0700 (Thu, 21 Apr 2011) | 23 lines 2011-04-21 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-pretty-expr): Rework verilog-pretty-expr to handle new assignment operators in system verilog, such as += *= and the like. (verilog-assignment-operator-re): regulare expression to find the assigment operator in a verilog assignment (verilog-assignment-operation-re): regular expression to find an assignment statement for pretty-expr (verilog-in-attribute-p): query returns true if point is in an attribute context; used to skip these for expression line up from pretty-expr (verilog-in-parameter-p): query returns true if point is in an parameter definition context; used to skip these for expression line up from pretty-expr (verilog-in-parenthesis-p): query returns true if point is in a parenthetical expression, specifically ( ) but not [ ] or { }; used by pretty-expr (verilog-just-one-space): if there is no space, don't add one. (verilog-get-lineup-indent-2): specifically skip just attribute contexts for expression lineup, rather than skipping all parenthetical expressions. ------------------------------------------------------------------------ r678 | wsnyder | 2011-04-18 12:58:30 -0700 (Mon, 18 Apr 2011) | 9 lines 2011-04-18 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto, verilog-auto-inout-in): Add AUTOINOUTIN for creating all inputs from module templates. Reported by Leith Johnson. * (verilog-module-inside-filename-p): Fix locating programs as with modules. ------------------------------------------------------------------------ r677 | wsnyder | 2011-04-13 05:26:28 -0700 (Wed, 13 Apr 2011) | 5 lines 2011-04-13 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-insert-definition): Fix dropping input/output, as part of yesterday's verilog-auto-wire-type changes. ------------------------------------------------------------------------ r676 | wsnyder | 2011-04-12 12:50:00 -0700 (Tue, 12 Apr 2011) | 6 lines 2011-04-12 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-inst-port): Fix vl-width expressions when using verilog-auto-inst-param-value, bug331. Reported by Julian Gorfajn. ------------------------------------------------------------------------ r675 | wsnyder | 2011-04-12 12:05:57 -0700 (Tue, 12 Apr 2011) | 9 lines 2011-04-12 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-decls-get-regs, verilog-decls-get-signals, verilog-decls-get-vars, verilog-decls-get-wires, verilog-decls-new, verilog-modi-cache-add-vars, verilog-modi-cache-add-wires, verilog-read-decls): Combine reg and wire structures into one var structure to represent SystemVerilog concepts. ------------------------------------------------------------------------ r674 | wsnyder | 2011-04-12 11:53:43 -0700 (Tue, 12 Apr 2011) | 5 lines 2011-04-12 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el: Modification of previous commit to better retain internal list structure. ------------------------------------------------------------------------ r673 | wsnyder | 2011-04-12 11:15:54 -0700 (Tue, 12 Apr 2011) | 9 lines 2011-04-12 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-ascii-enum, verilog-auto-logic, verilog-auto-reg, verilog-auto-reg-input, verilog-auto-tieoff, verilog-auto-wire, verilog-auto-wire-type, verilog-insert-definition): Add verilog-auto-wire-type and AUTOLOGIC to support using SystemVerilog "logic" keyword instead of "wire"/"reg". ------------------------------------------------------------------------ r672 | wsnyder | 2011-04-12 10:40:14 -0700 (Tue, 12 Apr 2011) | 1 line Commentary ------------------------------------------------------------------------ r671 | wsnyder | 2011-03-29 15:55:33 -0700 (Tue, 29 Mar 2011) | 7 lines 2011-03-29 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-reg-input, verilog-decls-get-signals): Fix AUTOWIRE to declares outputs that also have assignments (presumably in an ifdef or generate if so there's not a driver conflict). Reported by Matthew Myers. ------------------------------------------------------------------------ r670 | wsnyder | 2011-03-22 11:52:02 -0700 (Tue, 22 Mar 2011) | 7 lines 2011-03-22 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-declare-nettype, verilog-insert-definition): Add verilog-auto-declare-nettype to fix declarations using `default_nettype none. Reported by Julian Gorfajn. ------------------------------------------------------------------------ r669 | wsnyder | 2011-03-21 10:03:18 -0700 (Mon, 21 Mar 2011) | 1 line Internals: verilog-insert-definition now includes modi caching ------------------------------------------------------------------------ r668 | wsnyder | 2011-03-21 08:14:24 -0700 (Mon, 21 Mar 2011) | 1 line Commentary: Multiple module templates ------------------------------------------------------------------------ r667 | wsnyder | 2011-02-22 06:33:29 -0800 (Tue, 22 Feb 2011) | 7 lines 2011-02-22 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-always-signals-recurse, verilog-read-decls, verilog-read-sub-decls-gate): Fix infinite loop with (*) and malformed end statement, bug325. Reported by Joshua Wise and Andrew Drake. ------------------------------------------------------------------------ r666 | mac | 2011-02-20 22:30:31 -0800 (Sun, 20 Feb 2011) | 5 lines 2011-02-20 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-calculate-indent): Fix comment, and fix indent (verilog-do-indent): Indent declarations in lists (suggested by Joachim Lechner) ------------------------------------------------------------------------ r665 | mac | 2011-02-12 23:29:30 -0800 (Sat, 12 Feb 2011) | 8 lines 2011-02-12 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-mode-abbrev-table): Populate abbrev mode with the various skeleton items (I meant to do this a long time ago...) (verilog-sk-ovm-class): Add skeleton for OVM classes (Alain Mellan). ------------------------------------------------------------------------ r664 | wsnyder | 2011-01-27 13:48:20 -0800 (Thu, 27 Jan 2011) | 7 lines 2011-01-27 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-star-safe, verilog-delete-auto-star-implicit, verilog-inst-comment-re): Fix not deleting Interfaced comment when expanding .* in interfaces, bug320. Reported by Pierre-David Pfister. ------------------------------------------------------------------------ r663 | wsnyder | 2011-01-27 03:39:10 -0800 (Thu, 27 Jan 2011) | 1 line Merge from trunk; r102971; Convert consecutive FSF copyright years to ranges. ------------------------------------------------------------------------ r662 | wsnyder | 2011-01-19 09:39:27 -0800 (Wed, 19 Jan 2011) | 5 lines 2011-01-19 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-module-name): Fix import statements between module name and open parenthesis, bug317. Reported by Pierre-David Pfister. ------------------------------------------------------------------------ r661 | wsnyder | 2011-01-16 08:41:38 -0800 (Sun, 16 Jan 2011) | 1 line Merge from trunk; 99634.2.715: Copyright update ------------------------------------------------------------------------ r660 | wsnyder | 2010-12-14 13:34:34 -0800 (Tue, 14 Dec 2010) | 11 lines Partial merge from trunk: revno: 102628 committer: Stefan Monnier < monnier at iro.umontreal.ca > branch nick: trunk timestamp: Fri 2010-12-10 15:00:25 -0500 message: Use define-derived-mode prog-mode. WSNYDER modified to define prog-mode on earlier Emacs. ------------------------------------------------------------------------ r659 | wsnyder | 2010-12-14 13:03:19 -0800 (Tue, 14 Dec 2010) | 10 lines Partial merge from trunk: revno: 102628 committer: Stefan Monnier < monnier at iro.umontreal.ca > branch nick: trunk timestamp: Fri 2010-12-10 15:00:25 -0500 message: Fix up various minor style issues in lisp/progmodes. Move make-local-variable to their setq ------------------------------------------------------------------------ r658 | wsnyder | 2010-12-03 06:12:29 -0800 (Fri, 03 Dec 2010) | 6 lines 2010-12-03 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-simplify-range-expression): Fix simplification of multiplications inside AUTOWIRE connections, bug303. ------------------------------------------------------------------------ r657 | wsnyder | 2010-12-02 06:56:33 -0800 (Thu, 02 Dec 2010) | 6 lines 2010-12-02 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-signals-combine-bus): Fix simplification of multiplications inside AUTOWIRE connections, bug303. ------------------------------------------------------------------------ r656 | wsnyder | 2010-11-29 12:01:57 -0800 (Mon, 29 Nov 2010) | 5 lines 2010-11-29 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-inst-port): Support parameter expansion in multidimensional arrays. ------------------------------------------------------------------------ r655 | wsnyder | 2010-11-23 13:38:37 -0800 (Tue, 23 Nov 2010) | 6 lines 2010-11-23 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-decls): Fix AUTOREG etc looking for "endproperty" after "assert property". Reported by Julian Gorfajn. ------------------------------------------------------------------------ r654 | wsnyder | 2010-11-23 13:23:41 -0800 (Tue, 23 Nov 2010) | 5 lines 2010-11-23 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-simplify-range-expression): Fix "couldn't merge" errors with multiplication, bug303. ------------------------------------------------------------------------ r653 | wsnyder | 2010-11-23 13:16:22 -0800 (Tue, 23 Nov 2010) | 5 lines 2010-11-23 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-decls): Fix parsing of unsigned data types, bug302. Reported by Jan Frode Lonnum. ------------------------------------------------------------------------ r652 | wsnyder | 2010-11-23 13:01:42 -0800 (Tue, 23 Nov 2010) | 1 line Make point-at-bol/eol if doesn't exist - part of merge from GNU ------------------------------------------------------------------------ r651 | wsnyder | 2010-11-23 12:40:57 -0800 (Tue, 23 Nov 2010) | 9 lines Merge from trunk revno: 102283 committer: Glenn Morris < rgm at gnu.org > branch nick: trunk timestamp: Sat 2010-11-06 18:50:52 -0700 message: Replace unneeded compatibility definitions with point-at-bol, point-at-eol. ------------------------------------------------------------------------ r650 | wsnyder | 2010-11-05 08:01:11 -0700 (Fri, 05 Nov 2010) | 5 lines 2010-11-05 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-preprocess): Use with-current-buffer and font-lock-fontify-buffer to cleanup style issues. ------------------------------------------------------------------------ r649 | wsnyder | 2010-10-25 03:46:57 -0700 (Mon, 25 Oct 2010) | 1 line Merge from emacs trunk; revno: 99634.2.500 ------------------------------------------------------------------------ r648 | wsnyder | 2010-10-21 19:37:36 -0700 (Thu, 21 Oct 2010) | 7 lines 2010-10-21 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-insert-one-definition, verilog-read-decls, verilog-read-sub-decls-sig): Fix AUTOWIRE and AUTOINOUT for SV style multidimensional arrays, bug294. Reported by Eric Mastromarchi. ------------------------------------------------------------------------ r647 | wsnyder | 2010-10-20 07:04:33 -0700 (Wed, 20 Oct 2010) | 6 lines 2010-10-20 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-decls): Fix autowire not declaring wires for dotted assignments of same name, bug295. Reported by Peter Stroud. ------------------------------------------------------------------------ r646 | wsnyder | 2010-10-05 13:29:33 -0700 (Tue, 05 Oct 2010) | 8 lines 2010-10-05 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-inst, verilog-gate-ios, verilog-gate-keywords, verilog-read-sub-decls, verilog-read-sub-decls-gate, verilog-read-sub-decls-gate-ios, verilog-read-sub-decls-line, verilog-read-sub-decls-sig): Support AUTOINST for gate primitives, bug284. Reported by Mark Johnson. ------------------------------------------------------------------------ r645 | wsnyder | 2010-09-29 04:32:20 -0700 (Wed, 29 Sep 2010) | 1 line Commentary ------------------------------------------------------------------------ r642 | mac | 2010-09-28 13:00:43 -0700 (Tue, 28 Sep 2010) | 2 lines line up ansi style declarations inside inside module parenthetical lists ------------------------------------------------------------------------ r641 | wsnyder | 2010-09-27 14:01:09 -0700 (Mon, 27 Sep 2010) | 6 lines 2010-09-27 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-alw-new, verilog-decls-new, verilog-sig-new): Create new structure functions for bug reduction. No functional change. ------------------------------------------------------------------------ r640 | wsnyder | 2010-09-27 08:23:54 -0700 (Mon, 27 Sep 2010) | 6 lines 2010-09-27 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-decls): Fix spaces in V2K module parameters causing mis-identification as interfaces, bug287. Reported by Jan Frode Lønnum. ------------------------------------------------------------------------ r639 | wsnyder | 2010-09-03 08:13:02 -0700 (Fri, 03 Sep 2010) | 1 line Commentary ------------------------------------------------------------------------ r638 | wsnyder | 2010-08-20 11:29:19 -0700 (Fri, 20 Aug 2010) | 5 lines 2010-08-20 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-decls): Fix not treating "parameter string" as a parameter in AUTOINSTPARAM. ------------------------------------------------------------------------ r637 | wsnyder | 2010-08-20 11:14:12 -0700 (Fri, 20 Aug 2010) | 5 lines 2010-08-20 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-always-signals-recurse, verilog-read-decls): Fix not treating `elsif similar to `endif inside AUTOSENSE. ------------------------------------------------------------------------ r636 | mac | 2010-08-18 16:39:19 -0700 (Wed, 18 Aug 2010) | 2 lines Implement correct automatic or static task or function end comment highlight. (Thanks for the bug report from Steve Pearlmutter) ------------------------------------------------------------------------ r635 | wsnyder | 2010-08-18 07:10:05 -0700 (Wed, 18 Aug 2010) | 5 lines 2010-08-18 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-font-lock-keywords-2): Fix highlighting of single character pins, bug264. Reported by Michael Laajanen. ------------------------------------------------------------------------ r634 | wsnyder | 2010-08-18 07:00:46 -0700 (Wed, 18 Aug 2010) | 8 lines 2010-08-18 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-inst, verilog-read-decls, verilog-read-sub-decls, verilog-read-sub-decls-in-interfaced, verilog-read-sub-decls-sig, verilog-subdecls-get-interfaced, verilog-subdecls-new): Support interfaces with AUTOINST, bug270. Reported by Luis Gutierrez. ------------------------------------------------------------------------ r632 | wsnyder | 2010-07-22 17:17:01 -0700 (Thu, 22 Jul 2010) | 1 line Commentary ------------------------------------------------------------------------ r631 | wsnyder | 2010-07-22 17:12:08 -0700 (Thu, 22 Jul 2010) | 5 lines 2010-07-22 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-pretty-expr): Fix interactive arguments, bug272. Reported by Mark Johnson. ------------------------------------------------------------------------ r630 | wsnyder | 2010-07-19 11:10:45 -0700 (Mon, 19 Jul 2010) | 6 lines 2010-07-19 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-goto-defun, verilog-modi-get-type, verilog-modi-goto, verilog-module-inside-filename-p): Fix finding interface filenames similar to module lookup. Part of bug270. ------------------------------------------------------------------------ r629 | wsnyder | 2010-07-15 04:02:00 -0700 (Thu, 15 Jul 2010) | 7 lines 2010-07-15 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-tieoff, verilog-auto-tieoff-ignore-regexp): Add 'verilog-auto-tieoff-ignore-regexp' for AUTOTIEOFF, bug269. Suggested by Gary Delp. ------------------------------------------------------------------------ r627 | wsnyder | 2010-07-09 17:09:39 -0700 (Fri, 09 Jul 2010) | 5 lines 2010-07-09 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-error-regexp-xemacs-alist): Fix xemacs error tracking. Reported by Mark Johnson. ------------------------------------------------------------------------ r626 | wsnyder | 2010-07-09 16:14:34 -0700 (Fri, 09 Jul 2010) | 5 lines 2010-07-09 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-preprocess, verilog-preprocess-history): Add history to verilog-preprocess commands. ------------------------------------------------------------------------ r625 | wsnyder | 2010-06-15 10:50:35 -0700 (Tue, 15 Jun 2010) | 7 lines 2010-06-15 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-mode-map, verilog-preprocess, verilog-preprocessor, verilog-set-compile-command): Create verilog-preprocess and verilog-preprocessor to show preprocessed output. ------------------------------------------------------------------------ r624 | wsnyder | 2010-05-27 12:48:37 -0700 (Thu, 27 May 2010) | 6 lines 2010-05-27 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-highlight-region): Fix query-replace backup causing wrong-type-argument, broke in r611. Reported by Steve Pearlmutter. ------------------------------------------------------------------------ r623 | wsnyder | 2010-05-27 11:27:19 -0700 (Thu, 27 May 2010) | 6 lines Merge from Emacs trunk r100460 committer: Chong Yidong < cyd at stupidchicken.com > timestamp: Thu 2010-05-27 11:28:58 -0400 * progmodes/verilog-mode.el (verilog-type-font-keywords): Use font-lock-constant-face, not obsolete font-lock-reference-face. ------------------------------------------------------------------------ r622 | wsnyder | 2010-05-25 11:50:06 -0700 (Tue, 25 May 2010) | 5 lines Merge from Emacs trunk r100426 committer: Stefan Monnier < monnier at iro.umontreal.ca > timestamp: Mon 2010-05-24 22:11:08 -0400 Replace Lisp calls to delete-backward-char by delete-char. ------------------------------------------------------------------------ r621 | mac | 2010-05-24 10:00:43 -0700 (Mon, 24 May 2010) | 10 lines 2010-05-24 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-directive-re): Make this variable auto-built for efficiency of execution and updating. (verilog-extended-complete-re): support 'pure' fucntion & task declarations (these have no bodies) (verilog-beg-of-statement): general cleanup to enable support of 'pure' fucntion & task declarations (these have no bodies). These efforts together fix Verilog bug210 from veripool; which was also noticed by Steve Pearlmutter. ------------------------------------------------------------------------ r620 | wsnyder | 2010-05-24 07:36:05 -0700 (Mon, 24 May 2010) | 8 lines 2010-05-24 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-get-beg-of-line, verilog-get-end-of-line, verilog-modi-file-or-buffer, verilog-modi-name, verilog-modi-point, verilog-within-string): Move defmacro's before first use to avoid warning. Reported by Steve Pearlmutter. ------------------------------------------------------------------------ r619 | wsnyder | 2010-05-24 07:31:39 -0700 (Mon, 24 May 2010) | 4 lines 2010-05-24 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-save-scan-cache): Fix compile warning. ------------------------------------------------------------------------ r618 | wsnyder | 2010-05-07 07:56:18 -0700 (Fri, 07 May 2010) | 11 lines 2010-05-07 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-colorize-buffer, verilog-colorize-include-files-buffer, verilog-colorize-region, verilog-highlight-buffer, verilog-highlight-includes, verilog-highlight-modules, verilog-highlight-region, verilog-mode): Rename colorize to highlight to match other packages. Disable module highlighting, as received speed complaints, reenable for experimentation only using new verilog-highlight-modules. ------------------------------------------------------------------------ r617 | wsnyder | 2010-05-07 07:19:38 -0700 (Fri, 07 May 2010) | 4 lines 2010-05-07 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el: Fix buffer-chars-modified-tick not being in Emacs 21. ------------------------------------------------------------------------ r616 | wsnyder | 2010-04-30 06:10:05 -0700 (Fri, 30 Apr 2010) | 5 lines 2010-04-30 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-decls): Fix regexp stack overflow in very large AUTO_TEMPLATEs, bug250. ------------------------------------------------------------------------ r615 | wsnyder | 2010-04-30 05:54:38 -0700 (Fri, 30 Apr 2010) | 13 lines 2010-04-30 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto, verilog-delete-auto, verilog-save-buffer-state, verilog-scan): Create verilog-save-buffer-state to standardize making insignificant changes that shouldn't call hooks. * verilog-mode.el (verilog-save-no-change-functions, verilog-save-scan-cache, verilog-scan, verilog-scan-cache-ok-p, verilog-scan-region): Create verilog-save-no-change-functions to wrap verilog-scan preservation, and fix to work with nested preserved calls. ------------------------------------------------------------------------ r614 | wsnyder | 2010-04-20 07:36:19 -0700 (Tue, 20 Apr 2010) | 7 lines 2010-04-20 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-inst, verilog-auto-inst-dot-name): Support .name port syntax for AUTOWIRE, and with new verilog-auto-inst-dot-name generate .name with AUTOINST, bug245. Suggested by David Rogoff. ------------------------------------------------------------------------ r613 | wsnyder | 2010-04-20 07:29:02 -0700 (Tue, 20 Apr 2010) | 5 lines 2010-04-20 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-modi-lookup-cache): Fix mis-resolving modules of same name in multiple buffers, broke in r599. ------------------------------------------------------------------------ r612 | wsnyder | 2010-04-20 06:10:03 -0700 (Tue, 20 Apr 2010) | 5 lines 2010-04-20 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-submit-bug-report): Update variable list to be complete. ------------------------------------------------------------------------ r611 | wsnyder | 2010-04-17 05:33:54 -0700 (Sat, 17 Apr 2010) | 10 lines -*- ChangeLog -*- 2010-04-17 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto, verilog-colorize-region): Fix AUTO expansion breaking on-the-fly font-locking. * verilog-mode.el (verilog-scan-region): Internals: Avoid trashing match-data for better reusability. ------------------------------------------------------------------------ r610 | wsnyder | 2010-04-16 04:22:21 -0700 (Fri, 16 Apr 2010) | 1 line Internals: Fix compile warning, no functional change ------------------------------------------------------------------------ r609 | wsnyder | 2010-04-16 04:11:08 -0700 (Fri, 16 Apr 2010) | 1 line Whitespace cleanup - merge from bzr 99907 ------------------------------------------------------------------------ r608 | wsnyder | 2010-04-15 14:16:37 -0700 (Thu, 15 Apr 2010) | 10 lines 2010-04-15 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-colorize-buffer, verilog-colorize-include-files, verilog-colorize-include-files-buffer, verilog-colorize-region, verilog-load-file-at-mouse, verilog-load-file-at-point, verilog-mode, verilog-read-inst-module-matcher): With point on a AUTOINST cell instance name, middle mouse button now finds-file on it. Suggested by Brad Dobbie. ------------------------------------------------------------------------ r607 | wsnyder | 2010-04-15 11:48:08 -0700 (Thu, 15 Apr 2010) | 9 lines 2010-04-15 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-alw-get-temps, verilog-auto-reset, verilog-auto-sense-sigs, verilog-read-always-signals, verilog-read-always-signals-recurse): Fix loop indexes being AUTORESET. AUTORESET now assumes any variables in the initialization section of a for() should be ignored. Reported by Dan Dever. ------------------------------------------------------------------------ r606 | wsnyder | 2010-04-15 10:29:56 -0700 (Thu, 15 Apr 2010) | 8 lines 2010-04-15 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-error-font-lock-keywords, verilog-error-regexp-emacs-alist, verilog-error-regexp-xemacs-alist): Fix error detection of Cadence HAL, reported by David Asher. Repair drift between the three similar error variables. ------------------------------------------------------------------------ r605 | wsnyder | 2010-04-13 11:42:21 -0700 (Tue, 13 Apr 2010) | 5 lines 2010-04-13 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-scan): Fix comments before AUTOINST confusing scanner, broke in r598. ------------------------------------------------------------------------ r604 | wsnyder | 2010-04-12 15:51:29 -0700 (Mon, 12 Apr 2010) | 5 lines 2010-04-12 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-scan): Fix breaking read-only access to submodules when AUTO expanding, broke in r598. ------------------------------------------------------------------------ r599 | wsnyder | 2010-04-11 07:28:12 -0700 (Sun, 11 Apr 2010) | 9 lines 2010-04-11 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-modi-lookup, verilog-modi-lookup-cache, verilog-modi-lookup-last-current, verilog-modi-lookup-last-mod, verilog-modi-lookup-last-modi, verilog-modi-lookup-last-tick): Fix slow verilog-auto expansion on very large files. (5th fix: Modi cache should preserve all sub-modules not just most recent.) ------------------------------------------------------------------------ r598 | wsnyder | 2010-04-11 06:22:41 -0700 (Sun, 11 Apr 2010) | 10 lines 2010-04-11 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-scan-cache-preserving, verilog-scan-cache-tick, verilog-scan-region, verilog-scan, verilog-inside-comment-p, verilog-insert) Fix slow verilog-auto expansion on very large files. (4th fix: inside-comment now preparses buffer using verilog-scan and properties; verilog-insert must be used inside AUTO expanders.) ------------------------------------------------------------------------ r596 | mac | 2010-04-10 16:58:03 -0700 (Sat, 10 Apr 2010) | 5 lines 2010-04-10 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-set-auto-endcomments): Make `else comments after an `elseif correct ------------------------------------------------------------------------ r595 | mac | 2010-04-10 15:56:43 -0700 (Sat, 10 Apr 2010) | 6 lines 2010-04-10 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-directive-re, verilog-directive-begin, verilog-indent-re, verilog-directive-nest-re, verilog-set-auto-endcomments): Support `elsif (Thanks Shankar Giri) ------------------------------------------------------------------------ r594 | wsnyder | 2010-04-10 08:29:34 -0700 (Sat, 10 Apr 2010) | 6 lines 2010-04-10 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto, verilog-auto-templated-rel): Fix slow verilog-auto expansion on very large files. (4th fix: Speed up verilog-auto-inst-template-numbers.) ------------------------------------------------------------------------ r592 | wsnyder | 2010-04-09 09:34:54 -0700 (Fri, 09 Apr 2010) | 5 lines 2010-04-09 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-signals-not-in): Speed up execution on large lists, to accelerate AUTOOUTPUT etc. ------------------------------------------------------------------------ r590 | wsnyder | 2010-04-09 05:55:33 -0700 (Fri, 09 Apr 2010) | 6 lines 2010-04-09 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto, verilog-mode): Fix slow verilog-auto expansion on very large files. (4th fix: Disable after-change-functions in auto expansion.) ------------------------------------------------------------------------ r589 | wsnyder | 2010-04-07 09:58:06 -0700 (Wed, 07 Apr 2010) | 4 lines 2010-04-07 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (*): M-x checkdoc fixes. ------------------------------------------------------------------------ r588 | wsnyder | 2010-04-07 09:53:40 -0700 (Wed, 07 Apr 2010) | 5 lines 2010-04-07 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-regexp-words): Keep verilog-regexp-words around after compilation, as some user code and FAQ mentions it. ------------------------------------------------------------------------ r587 | wsnyder | 2010-04-06 12:22:21 -0700 (Tue, 06 Apr 2010) | 7 lines 2010-04-06 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-sub-decls-expr, verilog-read-sub-decls-line): Fix AUTOOUTPUT treating "1*2" as a signal name in submodule connection "{1*2{...". Broke in last revision. ------------------------------------------------------------------------ r586 | wsnyder | 2010-04-06 07:08:59 -0700 (Tue, 06 Apr 2010) | 6 lines 2010-04-06 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-sub-decls-expr): Fix AUTOOUTPUT not detecting submodule connections with replications "{#{a},#{b}}". ------------------------------------------------------------------------ r584 | mac | 2010-04-04 18:33:55 -0700 (Sun, 04 Apr 2010) | 6 lines 2010-04-04 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-forward-ws&directives, verilog-in-attribute-p): Fixes for attribute handling for lining up declarations and assignments ------------------------------------------------------------------------ r583 | wsnyder | 2010-04-03 19:54:25 -0700 (Sat, 03 Apr 2010) | 8 lines 2010-04-03 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto, verilog-modi-cache-current, verilog-modi-cache-current-enable, verilog-modi-cache-current-max, verilog-modi-current, verilog-modi-current-get): Fix slow verilog-auto expansion on very large files. (3rd fix: Cache verilog-modi-current results.) ------------------------------------------------------------------------ r582 | wsnyder | 2010-04-03 18:56:47 -0700 (Sat, 03 Apr 2010) | 7 lines 2010-04-03 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-re-search-backward-substr, verilog-re-search-forward-substr, verilog-read-auto-template): Fix slow verilog-auto expansion on very large files. (2nd fix: AUTO_TEMPLATE searching.) ------------------------------------------------------------------------ r581 | wsnyder | 2010-04-03 18:47:31 -0700 (Sat, 03 Apr 2010) | 8 lines 2010-04-03 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto, verilog-cache-has-lisp, verilog-modi-lookup, verilog-read-auto-lisp, verilog-read-auto-lisp-present, verilog-read-auto-template): Fix slow verilog-auto expansion on very large files. (1st fix: AUTO_LISP searching, modi cache flushing.) ------------------------------------------------------------------------ r576 | mac | 2010-03-31 11:33:03 -0700 (Wed, 31 Mar 2010) | 5 lines 2010-03-31 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-beg-of-statement-1): Fix issue where continued declaration is indented differently if it is after a begin..end clock ------------------------------------------------------------------------ r575 | mac | 2010-03-31 07:49:44 -0700 (Wed, 31 Mar 2010) | 8 lines 2010-03-31 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-in-attribute-p, verilog-skip-backward-comments, verilog-skip-forward-comment-p): Support proper treatment of attributes by indent code (Jeff Steele). (verilog-in-directive-p): Fix comment to correctly describe function ------------------------------------------------------------------------ r569 | mac | 2010-03-27 17:29:28 -0700 (Sat, 27 Mar 2010) | 5 lines 2010-03-27 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-backward-up-list): Use parse-sexp-ignore-comments to ignore the comments ------------------------------------------------------------------------ r568 | mac | 2010-03-27 16:53:45 -0700 (Sat, 27 Mar 2010) | 9 lines 2010-03-27 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-backward-up-list, verilog-in-struct-region-p, verilog-backward-token, verilog-in-struct-p, verilog-in-coverage-p, verilog-do-indent, verilog-pretty-declarations): use verilog-backward-up-list as wrapper around backward-up-list inorder to properly skip comments. Reported by David Rogoff. ------------------------------------------------------------------------ r567 | mac | 2010-03-27 16:24:07 -0700 (Sat, 27 Mar 2010) | 8 lines 2010-03-27 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-property-re, verilog-endcomment-reason-re, verilog-beg-of-statement, verilog-set-auto-endcomments, verilog-calc-1 ): Fix for assert a; else b; indentation (new form of if). Reported by Max Bjurling and others. ------------------------------------------------------------------------ r566 | mac | 2010-03-26 20:44:13 -0700 (Fri, 26 Mar 2010) | 4 lines 2010-03-26 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-calc-1): Fix for clocking block in modport declaration. Reported by Brian Hunter. ------------------------------------------------------------------------ r565 | wsnyder | 2010-03-01 11:30:40 -0800 (Mon, 01 Mar 2010) | 4 lines 2010-03-01 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-type-keywords): Fix pulldown as missing keyword. ------------------------------------------------------------------------ r564 | mac | 2010-02-12 12:29:58 -0800 (Fri, 12 Feb 2010) | 6 lines 2010-02-12 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-forward-sexp, verilog-calc-1): Support "disable fork" and "fork wait" multi word keywords, suggested by Steve Pearlmutter. ------------------------------------------------------------------------ r561 | mac | 2010-02-07 09:39:16 -0800 (Sun, 07 Feb 2010) | 7 lines 2010-02-07 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-skip-backward-comments, verilog-skip-forward-comment-p): fix bug for /* / comments (verilog-backward-syntactic-ws, verilog-forward-syntactic-ws): Speed up and simplfy as this is never called with a bound (verilog-pretty-declarations): Enhance to line up declarations inside a parameter list, suggested by Alan Morgan. (verilog-pretty-expr): Tune assignment regular expression match string for corner cases; also use markers instead of character number as indent changes the later. ------------------------------------------------------------------------ r560 | wsnyder | 2010-02-03 08:54:16 -0800 (Wed, 03 Feb 2010) | 10 lines 2010-02-03 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-sub-decls-line): Fix comments in AUTO_TEMPLATE causing truncation of AUTOWIRE signals. Reported by Bruce Tennant. * verilog-mode.el (verilog-auto-inst, verilog-auto-inst-port): Add vl_mbits for AUTO_TEMPLATEs needing multiple array bits. Suggested by Bruce Tennant. ------------------------------------------------------------------------ r559 | wsnyder | 2010-01-29 17:23:33 -0800 (Fri, 29 Jan 2010) | 1 line Merge from GNU: Copyright year ------------------------------------------------------------------------ r558 | wsnyder | 2010-01-25 07:05:21 -0800 (Mon, 25 Jan 2010) | 6 lines 2010-01-25 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-keywords, verilog-1800-2005-keywords, verilog-1800-2009-keywords): Add IEEE 1800-2009 keywords, including "global." ------------------------------------------------------------------------ r557 | wsnyder | 2009-12-11 03:54:43 -0800 (Fri, 11 Dec 2009) | 1 line Merge from gnu - Space change only ------------------------------------------------------------------------ r556 | wsnyder | 2009-12-10 15:14:30 -0800 (Thu, 10 Dec 2009) | 8 lines 2009-12-10 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-lineup, verilog-nameable-item-re): Cleanup user-visible spelling errors. * verilog-mode.el (verilog-submit-bug-report): Mention bug tracking and CC co-author. ------------------------------------------------------------------------ r555 | wsnyder | 2009-12-06 15:09:59 -0800 (Sun, 06 Dec 2009) | 4 lines 2009-12-06 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el: Documentation fix. Reported by Gary Delp. ------------------------------------------------------------------------ r554 | wsnyder | 2009-12-04 17:21:51 -0800 (Fri, 04 Dec 2009) | 7 lines -*- ChangeLog -*- 2009-12-04 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-decls): Fix AUTOWIRE with types declared in a package, bug195. Reported by Pierre-David Pfister. ------------------------------------------------------------------------ r553 | mac | 2009-12-01 23:18:28 -0800 (Tue, 01 Dec 2009) | 7 lines 2009-12-01 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-vmm-begin-re) (verilog-vmm-end-re) (verilog-vmm-statement-re) (verilog-ovm-statement-re) (verilog-defun-level-not-generate-re) (verilog-calculate-indent) (verilog-leap-to-head, verilog-backward-token): Add support for indenting VMM macros (Jonathan Ashbrook) ------------------------------------------------------------------------ r552 | wsnyder | 2009-11-25 06:04:10 -0800 (Wed, 25 Nov 2009) | 5 lines 2009-11-25 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-inst, verilog-block-keywords...): Commentary and checkdoc fixes. ------------------------------------------------------------------------ r551 | wsnyder | 2009-11-18 10:03:42 -0800 (Wed, 18 Nov 2009) | 6 lines 2009-11-18 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-insert-lisp, verilog-delete-auto, verilog-delete-empty-auto-pair, verilog-library-filenames): Fix AUTOINSERTLISP to support insert-file. Reported by Clay Douglass. ------------------------------------------------------------------------ r550 | mac | 2009-11-14 13:47:26 -0800 (Sat, 14 Nov 2009) | 4 lines 2009-11-14 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-at-struct-p): Support "signed" and "unsigned" structs ------------------------------------------------------------------------ r549 | wsnyder | 2009-11-14 03:52:20 -0800 (Sat, 14 Nov 2009) | 7 lines 2009-11-14 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-inst, verilog-auto-star-safe, verilog-delete-auto-star-implicit, verilog-read-sub-decls): Fix removing "// Interfaces" when saving .* expansions. Reported by Pierre-David Pfister. ------------------------------------------------------------------------ r548 | mac | 2009-11-13 23:48:14 -0800 (Fri, 13 Nov 2009) | 4 lines 2009-11-13 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-leap-to-head, verilog-backward-token): Handle "disable fork" statement better. ------------------------------------------------------------------------ r547 | wsnyder | 2009-11-05 11:44:15 -0800 (Thu, 05 Nov 2009) | 6 lines 2009-11-05 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-getopt-file, verilog-set-define): Remove extra save-excursions and make-variable-buffer-local's. Suggested by Stefan Monnier. ------------------------------------------------------------------------ r546 | wsnyder | 2009-11-05 07:49:29 -0800 (Thu, 05 Nov 2009) | 5 lines 2009-11-05 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-getopt-file, verilog-module-inside-filename-p, verilog-set-define): Merge GNU 1.35 and repair changes from switching to using with-current-buffer. ------------------------------------------------------------------------ r544 | mac | 2009-10-20 22:56:41 -0700 (Tue, 20 Oct 2009) | 5 lines 2009-10-21 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-label-re) Fix regular expression for labels. ------------------------------------------------------------------------ r542 | wsnyder | 2009-10-15 08:54:33 -0700 (Thu, 15 Oct 2009) | 6 lines 2009-10-15 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-always-signals-recurse): Fix "a == 2'b00 ? b : c" being treated as a number and confusing AUTORESET. Reported by Dan Dever. ------------------------------------------------------------------------ r541 | mac | 2009-10-11 20:13:08 -0700 (Sun, 11 Oct 2009) | 7 lines 2009-10-11 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-label-re, verilog-calc-1): Support proper indent of named asserts ------------------------------------------------------------------------ r539 | wsnyder | 2009-09-14 07:34:39 -0700 (Mon, 14 Sep 2009) | 7 lines 2009-09-14 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-ignore-concat, verilog-read-sub-decls-expr): Add verilog-auto-ignore-concat to fix backward compatibility with older verilog-modes. Reported by Dan Katz. ------------------------------------------------------------------------ r538 | wsnyder | 2009-09-11 15:52:40 -0700 (Fri, 11 Sep 2009) | 5 lines 2009-09-11 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-auto-template): Fix AUTO_TEMPLATEs with regexps containing closing anchors "...$". ------------------------------------------------------------------------ r537 | wsnyder | 2009-09-09 16:30:51 -0700 (Wed, 09 Sep 2009) | 6 lines 2009-09-09 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-decls): Fix AUTOREG not detecting "assign {a,b}". Reported by Wade Smith. ------------------------------------------------------------------------ r535 | wsnyder | 2009-09-09 15:45:52 -0700 (Wed, 09 Sep 2009) | 4 lines Merge from Emacs revision 1.34 date: 2009-08-31 23:18:53 -0400; author: gm; state: Exp; lines: +2 -1; commitid: 1drkJvEoIiBWoV1u; Use forward-line rather than goto-line. ------------------------------------------------------------------------ r534 | wsnyder | 2009-09-05 15:22:58 -0700 (Sat, 05 Sep 2009) | 4 lines 2009-09-05 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el: (verilog-batch-execute-func) Comment on function usage. ------------------------------------------------------------------------ r533 | wsnyder | 2009-09-05 15:19:51 -0700 (Sat, 05 Sep 2009) | 19 lines Merge from Emacs revision 1.33 date: 2009-08-28 13:02:54 -0400; author: monnier; state: Exp; lines: +3 -3; commitid: VIAnwfdKqTjK5u1u; * gnus/nnheader.el (nnheader-find-file-noselect): * gnus/mm-util.el (mm-insert-file-contents): * org/org-html.el (org-export-as-html): * org/org-docbook.el (org-export-as-docbook): * textmodes/reftex.el (reftex-get-file-buffer-force): * progmodes/verilog-mode.el (verilog-batch-execute-func): * emulation/viper.el (viper-go-away, viper-set-hooks): * emacs-lisp/re-builder.el (re-builder-unload-function): * emacs-lisp/bytecomp.el (byte-compile-file): * ses.el (ses-unload-function): * hexl.el (hexl-find-file): * files.el (normal-mode): * ehelp.el (with-electric-help): * autoinsert.el (auto-insert-alist): * arc-mode.el (archive-mode): Use (default-value 'major-mode) instead of default-major-mode. ------------------------------------------------------------------------ r532 | wsnyder | 2009-09-05 15:15:45 -0700 (Sat, 05 Sep 2009) | 4 lines Merge from Emacs revision 1.32 date: 2009-08-24 23:26:43 -0400; author: gm; state: Exp; lines: +1 -2; commitid: 0CVUPGs0I9EHF11u; (top-level): Don't require lucid (and hence run-time cl). ------------------------------------------------------------------------ r531 | wsnyder | 2009-07-22 09:50:42 -0700 (Wed, 22 Jul 2009) | 5 lines Merge from EMACS CVS revision 1.31 date: 2009-07-21 23:07:23 -0400; author: gm; state: Exp; lines: +2 -2; commitid: SHvdDM4FeoJLEEWt; Kevin Ryde Hyperlink urls in docstrings with URL `...'. ------------------------------------------------------------------------ r530 | wsnyder | 2009-07-19 06:07:28 -0700 (Sun, 19 Jul 2009) | 4 lines Merge from Emacs revision 1.30 date: 2009-07-18 20:47:50 -0400; author: gm; commitid: QPN4ePHyfQKVYfWt; (verilog-error-regexp-add-xemacs): Silence compiler by only defining on XEmacs. ------------------------------------------------------------------------ r528 | mac | 2009-07-10 23:19:00 -0700 (Fri, 10 Jul 2009) | 7 lines 2009-07-10 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-backward-token, verilog-basic-complete-re, verilog-beg-of-statement, verilog-indent-re): Support proper indent of the assert statement at the beginning of a block of text * verilog-mode.el (verilog-beg-block-re, verilog-ovm-begin-re): Support the `ovm_object_param_utils_begin and `ovm_component_param_utils_begin tokens as begins ------------------------------------------------------------------------ r525 | mac | 2009-07-02 14:33:31 -0700 (Thu, 02 Jul 2009) | 11 lines 2009-07-02 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-error-regexp-emacs-alist): Coded custom representation of verilog error regular expressions to work with Emacs-22's new format (verilog-error-regexp-xemacs-alist): Coded custom representation of verilog error regular expressions to work with XEmacs format (verilog-error-regexp-add-xemacs): Hook routine to install verilog error recognition into XEmacs (verilog-error-regexp-add-emacs): Hook routine to install verilog error recognition into Emacs-22 ------------------------------------------------------------------------ r524 | wsnyder | 2009-06-30 15:14:45 -0700 (Tue, 30 Jun 2009) | 2 lines No functional change; spacing fixes to match GNU 1.28. ------------------------------------------------------------------------ r520 | mac | 2009-06-11 23:16:28 -0700 (Thu, 11 Jun 2009) | 7 lines 2009-06-11 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-beg-of-statement) (verilog-endcomment-reason-re): support unique case and priority case (verilog-basic-complete-re): support localparam lineup (verilog-beg-of-statement-1): fix for robustness, unique case (verilog-set-auto-endcomments): fix for unique case, always_comb commenting ------------------------------------------------------------------------ r516 | wsnyder | 2009-06-04 12:42:54 -0700 (Thu, 04 Jun 2009) | 5 lines 2009-06-04 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-arg, verilog-auto-arg-sort): Allow sorting AUTOARG lists. Suggested by Andrea Fedeli. ------------------------------------------------------------------------ r515 | wsnyder | 2009-06-04 10:41:42 -0700 (Thu, 04 Jun 2009) | 5 lines 2009-06-04 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-sub-decls-line): Fix AUTOWIRE signals getting lost in concatenations. Reported by Yishay Belkind. ------------------------------------------------------------------------ r514 | mac | 2009-06-01 17:27:50 -0700 (Mon, 01 Jun 2009) | 2 lines More unique fixes ------------------------------------------------------------------------ r513 | mac | 2009-05-26 14:09:20 -0700 (Tue, 26 May 2009) | 5 lines 2009-05-26 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-leap-to-case-head): Now support *nested* unique & priority case statements. ------------------------------------------------------------------------ r508 | mac | 2009-05-26 06:57:50 -0700 (Tue, 26 May 2009) | 5 lines 2009-05-26 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el: (verilog-auto-lineup): make just declarations the default (as it had been) (verilog-leap-to-case-head): support priority/unique case statements ------------------------------------------------------------------------ r502 | wsnyder | 2009-05-10 18:59:42 -0700 (Sun, 10 May 2009) | 5 lines 2009-05-10 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-ascii-enum): Support one-hot state machines in AUTOASCIIENUM. Suggested by Lloyd Gomez. ------------------------------------------------------------------------ r501 | wsnyder | 2009-05-04 17:13:30 -0700 (Mon, 04 May 2009) | 6 lines 2009-05-04 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-inst, verilog-auto-inst-port): Include interface modport in AUTOINST and add vl-modport for users. Reported by David Rogoff. ------------------------------------------------------------------------ r500 | wsnyder | 2009-05-04 12:58:35 -0700 (Mon, 04 May 2009) | 11 lines 2009-05-04 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-inout-module, verilog-auto-inst, verilog-decls-get-interfaces, verilog-insert-definition, verilog-insert-one-definition, verilog-read-decls, verilog-read-sub-decls, verilog-read-sub-decls-sig, verilog-sig-modport, verilog-signals-combine-bus, verilog-subdecls-get-interfaces): Fix expansion of SystemVerilog interfaces in AUTOINOUTMODULE, AUTOINOUTCOMP, and AUTOINST. Suggested by David Rogoff. ------------------------------------------------------------------------ r499 | wsnyder | 2009-05-04 11:24:34 -0700 (Mon, 04 May 2009) | 9 lines 2009-05-04 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-repair-open-comma): Fix non-insertion of comma when `DEFINE occurs in V2K argument list. Reported by Lane Brooks. * verilog-mode.el (verilog-make-width-expression): Simplify [A-1:0] expression widths to just {A{1'b0}}. ------------------------------------------------------------------------ r498 | wsnyder | 2009-04-30 16:55:33 -0700 (Thu, 30 Apr 2009) | 4 lines 2009-04-30 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-mode): Cleanup checkdoc warnings. ------------------------------------------------------------------------ r496 | wsnyder | 2009-04-10 05:41:11 -0700 (Fri, 10 Apr 2009) | 7 lines 2009-04-10 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-inout-module, verilog-signals-matching-dir-re): Add third optional regexp to AUTOINOUTMODULE to allow selecting only inputs/outputs or data type. Suggested by Vasu Kandadi. ------------------------------------------------------------------------ r495 | wsnyder | 2009-04-04 14:23:24 -0700 (Sat, 04 Apr 2009) | 4 lines 2009-04-04 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (next-error-last-buffer): Fix byte-compiler warning. ------------------------------------------------------------------------ r494 | mac | 2009-03-26 16:47:39 -0700 (Thu, 26 Mar 2009) | 2 lines Fix case skeleton template (thanks Spencer Isaacson) ------------------------------------------------------------------------ r493 | wsnyder | 2009-03-23 08:07:04 -0700 (Mon, 23 Mar 2009) | 7 lines 2009-03-23 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto, verilog-auto-insert-lisp, verilog-auto-inst, verilog-delete-auto): Add AUTOINSERTLISP to insert arbitrary lisp or shell command text during AUTO expansion. Suggested by Tad Truex. ------------------------------------------------------------------------ r492 | wsnyder | 2009-03-19 11:15:10 -0700 (Thu, 19 Mar 2009) | 8 lines 2009-03-19 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-sub-decls-expr, verilog-read-sub-decls-line, verilog-read-sub-decls-sig, verilog-symbol-detick-text): Fix dotted nets {a.b,c.d} and excaped identifiers being mis-included in AUTOINOUT. Reported by Matthew Lovell. ------------------------------------------------------------------------ r491 | wsnyder | 2009-03-18 11:02:51 -0700 (Wed, 18 Mar 2009) | 6 lines 2009-03-18 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-always-signals-recurse): Fix AUTORESET "if (a<=b)" causing use of <= assignments. Reported by Alex Reed. ------------------------------------------------------------------------ r490 | wsnyder | 2009-03-02 15:35:35 -0800 (Mon, 02 Mar 2009) | 5 lines 2009-03-02 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-decls): Fix triand, trior, wand, wor to be recognized by AUTOWIRE. Reported by Spencer Isaacson. ------------------------------------------------------------------------ r489 | mac | 2009-02-22 18:21:14 -0800 (Sun, 22 Feb 2009) | 4 lines 2009-02-22 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-extended-complete-re): Support import "DPI-C" functions ------------------------------------------------------------------------ r485 | mac | 2009-02-22 14:10:47 -0800 (Sun, 22 Feb 2009) | 22 lines 2009-02-22 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-auto-lineup): Rework to give users radio buttons to select the various styles of automatic lineup (verilog-error-regexp-alist): Rework to support the XEmacs style of error regular expressions from compilers, lint tools & simulators. Note that GNU Emacs has made it impossible for a mode to load such things. (electric-verilog-terminate-line): rework for radio button selection of auto-lineup selection of specification of auto lineup (verilog-beg-of-statement-1): redesign to support proper operation in additional code, based on testing with auto-lineup (verilog-calculate-indent): enhance to support auto-lineup of assignments & declarations (verilog-backward-token): enhance to support auto-lineup of assignments & declarations - walking across strings and parameterized widths (verilog-in-directive-p): New function for easy test of whether we are (verilog-at-struct-p): New function for easy test of whether we are (verilog-pretty-declarations): Massive rework to support safe execution at almost anyline. (verilog-pretty-expr): Massive rework to support safe execution at almost anyline. (verilog-indent-declaration): rework for radio button selection of auto-lineup (verilog-auto-wire): rework for enhanced auto-lineup ------------------------------------------------------------------------ r476 | wsnyder | 2009-02-12 12:40:38 -0800 (Thu, 12 Feb 2009) | 6 lines 2009-02-12 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-always-signals-recurse): Fix AUTORESET of "x <= y[a+1:a+1]" to not include a in reset list. Reported by Dan Dever. ------------------------------------------------------------------------ r475 | wsnyder | 2009-01-18 14:01:26 -0800 (Sun, 18 Jan 2009) | 8 lines 2009-01-18 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (electric-verilog-colon, electric-verilog-semi, electric-verilog-tick, verilog-insert-last-command-event): Support last-command-event instead of last-command-char in newest Emacs. Merges GNU revision 1.26 by Glenn Moris. ------------------------------------------------------------------------ r473 | wsnyder | 2009-01-08 13:42:33 -0800 (Thu, 08 Jan 2009) | 2 lines Test of commit as Wilson ------------------------------------------------------------------------ r465 | wsnyder | 2009-01-08 11:44:02 -0800 (Thu, 08 Jan 2009) | 1 line Merge from GNU: Copyright year update ------------------------------------------------------------------------ r464 | wsnyder | 2008-12-19 07:12:11 -0800 (Fri, 19 Dec 2008) | 6 lines 2008-12-19 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-insert-date, verilog-insert-year, verilog-sk-header-tmpl): Fix verilog-header inserting error on Windows systems. Reported by Michael Potts. ------------------------------------------------------------------------ r463 | wsnyder | 2008-11-21 13:16:37 -0800 (Fri, 21 Nov 2008) | 6 lines 2008-11-21 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-module-name): Fix AUTOINST when the child module declaration's name is a tick define. Reported by Elliot Mednick. ------------------------------------------------------------------------ r461 | mac | 2008-11-15 12:27:32 -0800 (Sat, 15 Nov 2008) | 6 lines 2008-11-15 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-calc-1): Properly support indenting deep inside generate blocks ------------------------------------------------------------------------ r460 | wsnyder | 2008-11-11 09:49:58 -0800 (Tue, 11 Nov 2008) | 6 lines 2008-11-11 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-decls): Fix V2K parameter bit subscripts getting passed to next parameter's definition. Reported by Bruce T. ------------------------------------------------------------------------ r458 | wsnyder | 2008-11-03 17:38:05 -0800 (Mon, 03 Nov 2008) | 5 lines 2008-11-03 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-decls): Fix detecting "parameter int" when using AUTOINSTPARAM. Reported by Bruce T. ------------------------------------------------------------------------ r457 | mac | 2008-10-29 15:57:11 -0700 (Wed, 29 Oct 2008) | 1 line Fix typo in change to (verilog-mode) ------------------------------------------------------------------------ r456 | mac | 2008-10-29 15:36:17 -0700 (Wed, 29 Oct 2008) | 10 lines 2008-10-29 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el: Remove definition & use of verilog-init-font, as it is redundant with font-lock-defaults. (verilog-mode): Alter the definition of verilog-font-lock-defualts to avoid circular calls if syntax-ppss is a function (as is the case now in 22.x GNU Emacs) as that function would sometimes call itself, leading to (nearly) infinite recursion ------------------------------------------------------------------------ r455 | mac | 2008-10-15 22:17:13 -0700 (Wed, 15 Oct 2008) | 8 lines 2008-10-15 Michael McNamara < mac at verilog.com > * verilog-mode.el (verilog-ovm-begin-re, verilog-ovm-end-re) (verilog-ovm-statement-re, verilog-leap-to-head) (verilog-backward-token): Add support for OVM macros. Some are complete statements, and others open and close scopes like begin and end. ------------------------------------------------------------------------ r453 | mac | 2008-10-13 20:33:39 -0700 (Mon, 13 Oct 2008) | 6 lines 2008-10-13 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-defun-level-not-generate-re, verilog-defun-level-re, verilog-defun-level-generate-only-re): Really fix the defun-list compilation issue ------------------------------------------------------------------------ r452 | mac | 2008-10-10 16:51:49 -0700 (Fri, 10 Oct 2008) | 9 lines 2008-10-10 Michael (Mac) McNamara < mcnamara at cadence.com > * verilog-mode.el (verilog-calc-1) (verilog-beg-of-statement): Enhance support for coverpoint, constraint and cross statements (verilog-defun-level-list) (verilog-generate-defun-level-list) (verilog-all-defun-level-list): redo these specifications - it is too hard to support eval-when compile aggregation of lists also built at when-compile time. ------------------------------------------------------------------------ r447 | mac | 2008-10-09 12:06:16 -0700 (Thu, 09 Oct 2008) | 7 lines 2008-10-09 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-defun-level-list): Place defconsts of variables used in building regular expressions which are built in eval-when-compile bodies in the same eval-when-compile body to facilitate compile without load. ------------------------------------------------------------------------ r446 | wsnyder | 2008-10-07 13:27:42 -0700 (Tue, 07 Oct 2008) | 6 lines 2008-10-07 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-goto-defun): Fix goto not finding modules unless first perform a verilog-auto expansion. Suggested by Lawrence Butcher. ------------------------------------------------------------------------ r445 | wsnyder | 2008-09-30 06:32:50 -0700 (Tue, 30 Sep 2008) | 6 lines 2008-09-30 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-mode): Expand -f flag arguments on entry to mode so verilog-goto-defun will work. Reported by Lawrence Butcher. ------------------------------------------------------------------------ r444 | wsnyder | 2008-09-29 11:18:17 -0700 (Mon, 29 Sep 2008) | 5 lines 2008-09-29 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-getopt): Expand environment variables in -f file arguments. Suggested by Lawrence Butcher. ------------------------------------------------------------------------ r443 | mac | 2008-09-25 15:42:11 -0700 (Thu, 25 Sep 2008) | 10 lines 2008-09-25 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el: (verilog-beg-block-re-ordered): support indenting virtual/protected tasks and functions (verilog-defun-level-list): speed up indentation of some module items (generate items) (verilog-forward-sexp): support stepping forward across virtual/protected tasks and functions (verilog-in-generate-region-p): speed up indentation of some module items (generate items) (verilog-calc-1): speed up indentation of some module items (generate items) (verilog-leap-to-head): support stepping backward across virtual/protected tasks and functions (verilog-backward-ws&directives): speed up indentation of some module items (only consider translateoff regions if enabled) ------------------------------------------------------------------------ r439 | wsnyder | 2008-09-24 10:27:55 -0700 (Wed, 24 Sep 2008) | 5 lines 2008-09-24 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-set-define): Fix "Symbol's value as variable is void" when reading enumerations. ------------------------------------------------------------------------ r438 | wsnyder | 2008-09-11 11:15:22 -0700 (Thu, 11 Sep 2008) | 5 lines 2008-09-11 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto-ascii-enum): Fix duplicate labels in AUTOASCIIENUM. Suggested by Stephen Peltan. ------------------------------------------------------------------------ r437 | wsnyder | 2008-09-03 06:13:06 -0700 (Wed, 03 Sep 2008) | 5 lines 2008-09-03 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-read-defines): Fix reading of enumerations in include files. Reported by Steve Peltan. ------------------------------------------------------------------------ r436 | wsnyder | 2008-09-02 17:55:06 -0700 (Tue, 02 Sep 2008) | 5 lines 2008-09-02 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-library-extensions): Enable .sv filename extensions to call verilog-mode. ------------------------------------------------------------------------ r435 | wsnyder | 2008-09-02 17:35:32 -0700 (Tue, 02 Sep 2008) | 5 lines 2008-09-02 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el (verilog-auto) (verilog-auto-inst) (verilog-faq) (verilog-submit-bug-report): Update author support URLs. ------------------------------------------------------------------------ r434 | wsnyder | 2008-08-20 12:25:31 -0700 (Wed, 20 Aug 2008) | 8 lines 2008-08-20 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el: (verilog-delete-auto) (verilog-auto-inout-module) (verilog-auto-inout-comp) (verilog-auto): Add AUTOINOUTCOMP for creating complemented testbench modules. Suggested by Yishay Belkind. ------------------------------------------------------------------------ r433 | wsnyder | 2008-08-20 09:38:04 -0700 (Wed, 20 Aug 2008) | 9 lines 2008-08-20 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el: (verilog-auto-inst-port) (verilog-simplify-range-expression): When verilog-auto-inst-param-value is set, don't require a AUTO_TEMPLATE to expand parameter substitutions. Suggested by Yishay Belkind. (verilog-auto-inst-param-value): Add safe variable. ------------------------------------------------------------------------ r432 | mac | 2008-08-17 13:25:27 -0700 (Sun, 17 Aug 2008) | 11 lines 2008-08-17 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-beg-block-re-ordered),(verilog-calc-1): Better support for the property statement. Somethimes this keyword introduces a statement which requires an endproperty keyword, and sometimes it doesn't, dependening on the work before the property word. If property is prefixed with assert, assume or cover keyword, then the statement is ended with a ';' Otherwise, property is like task or specify, and is followed by some number of statements, which are ended with an endproperty keyword. ------------------------------------------------------------------------ r431 | wsnyder | 2008-08-04 11:39:27 -0700 (Mon, 04 Aug 2008) | 11 lines 2008-08-04 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el: (verilog-re-search-forward) (verilog-re-search-backward): Fix returning wrong search results on Emacs 22.1. * (verilog-modi-cache-results) (verilog-auto): Fix warning message about "toggling font-lock-mode." * (verilog-auto): Fix loosing font-lock on errors. ------------------------------------------------------------------------ r430 | mac | 2008-07-31 14:23:40 -0700 (Thu, 31 Jul 2008) | 7 lines 2008-07-31 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (electric-verilog-tab): Support Emacs 22.2 style handling of tab in a highlighted region: indent each line in region according to mode. Supply this so it works in XEmacs and older Emacs ------------------------------------------------------------------------ r429 | wsnyder | 2008-06-23 16:33:08 -0700 (Mon, 23 Jun 2008) | 13 lines 2008-06-23 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el: (verilog-auto-inst-param-value) (verilog-mode-version) (verilog-mode-version-date) (verilog-read-inst-param-value) (verilog-auto-inst) (verilog-auto-inst-param) (verilog-auto-inst-port) (verilog-simplify-range-expression): Add verilog-auto-inst-param-value option for AUTOINST. This allows parameters to be replaced with their values, on the expansion of an AUTOINST with Verilog 2001 style parameter settings. Suggested by David Rogoff. ------------------------------------------------------------------------ r428 | wsnyder | 2008-06-23 13:24:17 -0700 (Mon, 23 Jun 2008) | 5 lines 2008-06-23 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el: (verilog-string-replace-matches): Avoid recursion with small replacements ------------------------------------------------------------------------ r427 | wsnyder | 2008-06-23 10:17:07 -0700 (Mon, 23 Jun 2008) | 4 lines 2008-06-23 Wilson Snyder < wsnyder at wsnyder.org > * verilog-mode.el: Make verilog-auto-inst-column customizable. ------------------------------------------------------------------------ r426 | mac | 2008-06-09 17:09:30 -0700 (Mon, 09 Jun 2008) | 28 lines 2008-06-09 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-beg-block-re-ordered) (verilog-indent-re) (verilog-forward-sexp) (verilog-forward-wai) (verilog-calc-1) (verilog-leap-to-head): Support the new virtual and/or protected tasks, as well as extern declarations of tasks for indenting and for foward/backward expression. So: virtual task v_t(); /* */ endtask extern virtual task v_t(); protected task p_t(); /* */ endtask virtual protected task vp_t(); /* */ endtask protected virtual task pv_t(); /* */ endtask extern protected virtual task pv_t(); extern virtual protected task pv_t(); and so on are all supported. Functions are next. ------------------------------------------------------------------------ r425 | wsnyder | 2008-06-03 13:06:15 -0700 (Tue, 03 Jun 2008) | 5 lines 2008-06-03 Wilson Snyder < wsnyder at wsnyder.org > * (verilog-read-decls): Allow AUTORESET to work with SV 'logic' signals. Suggested by Julian Gorfajn. ------------------------------------------------------------------------ r423 | mac | 2008-05-07 09:19:08 -0700 (Wed, 07 May 2008) | 6 lines 2008-05-07 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-do-indent): remove special indent for declarations inside a parenthetical list. The code is ill-advised, and doesn't work given user defined types. (verilog-set-auto-endcomments): enhance function automatic endcomment to support functions that return user defined types. (verilog-mode): Add code to tell which-function-mode minor mode that Verilog supports this feature. ------------------------------------------------------------------------ r421 | wsnyder | 2008-05-06 08:01:46 -0700 (Tue, 06 May 2008) | 1 line Merges from Emacs mainline 1.20 ------------------------------------------------------------------------ r420 | wsnyder | 2008-04-23 14:13:11 -0700 (Wed, 23 Apr 2008) | 4 lines Performance Improvements: Speed up large AUTOINST modules. On large modules, the end result of this and the last several changes is a ~300% speedup in auto expansion. ------------------------------------------------------------------------ r419 | wsnyder | 2008-04-23 13:19:31 -0700 (Wed, 23 Apr 2008) | 1 line Performance: Fix library caching breaking local variable changes ------------------------------------------------------------------------ r418 | wsnyder | 2008-04-23 11:23:43 -0700 (Wed, 23 Apr 2008) | 1 line Performance improvements: Cache directory lookups ------------------------------------------------------------------------ r417 | wsnyder | 2008-04-23 08:22:40 -0700 (Wed, 23 Apr 2008) | 1 line Performance enhancements: quote regexps inline ------------------------------------------------------------------------ r416 | wsnyder | 2008-04-23 08:20:00 -0700 (Wed, 23 Apr 2008) | 1 line Fix typo in getopt error message ------------------------------------------------------------------------ r415 | wsnyder | 2008-04-17 05:58:09 -0700 (Thu, 17 Apr 2008) | 1 line Commentary; merge from GNU CVS 1.19 ------------------------------------------------------------------------ r413 | mac | 2008-04-13 17:17:42 -0700 (Sun, 13 Apr 2008) | 9 lines 2008-04-13 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-type-font-keywords): Add leda and 0in as pragma keywords (verilog-mode): more portable check for the availability of hideshow support ------------------------------------------------------------------------ r412 | wsnyder | 2008-03-28 07:42:49 -0700 (Fri, 28 Mar 2008) | 1 line Update documentation to use consistant module names. [Ori Rozenblum] ------------------------------------------------------------------------ r411 | wsnyder | 2008-03-27 10:59:51 -0700 (Thu, 27 Mar 2008) | 1 line Add optional regexp to AUTOINOUTMODULE ------------------------------------------------------------------------ r410 | wsnyder | 2008-03-24 07:18:16 -0700 (Mon, 24 Mar 2008) | 3 lines Add optional regexp to AUTOINPUT, AUTOOUTPUT, AUTOINOUT that specifies what signals to include. [Bruce A Tennant] ------------------------------------------------------------------------ r409 | mac | 2008-03-21 21:03:41 -0700 (Fri, 21 Mar 2008) | 6 lines Synchronize with GNU 2008-03-21 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-pretty-expr): Remove ':' from regexp that says we are not lining up expressions to allow a[3:0] = b; ------------------------------------------------------------------------ r408 | wsnyder | 2008-03-20 06:07:08 -0700 (Thu, 20 Mar 2008) | 1 line Merges from Emacs 22 branch, rev 1.16 ------------------------------------------------------------------------ r407 | wsnyder | 2008-03-17 13:22:48 -0700 (Mon, 17 Mar 2008) | 1 line Fix .* inside quotes causing verilog-auto errors [Dan Jackson] ------------------------------------------------------------------------ r406 | wsnyder | 2008-03-17 11:09:49 -0700 (Mon, 17 Mar 2008) | 1 line Add tooltips to menus [Dan Nicolaescu] ------------------------------------------------------------------------ r405 | wsnyder | 2008-03-17 07:24:13 -0700 (Mon, 17 Mar 2008) | 1 line Fix AUTOINPUT/AUTOOUTPUT mis-including genvars. [Bruce A Tennant] ------------------------------------------------------------------------ r404 | mac | 2008-03-02 22:53:01 -0800 (Sun, 02 Mar 2008) | 2 lines Synchronize with GNU ------------------------------------------------------------------------ r403 | mac | 2008-03-02 22:47:24 -0800 (Sun, 02 Mar 2008) | 2 lines Change defcustom string to conform to GNU style guide ------------------------------------------------------------------------ r401 | mac | 2008-02-29 10:19:59 -0800 (Fri, 29 Feb 2008) | 7 lines Synch up with GNU changes. 2008-02-29 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-font-grouping-keywords): Fix typo (verilog-font-lock-keywords): Allow users to toggle special highlight of grouping keywords (verilog-highlight-grouping-keywords): The toggle for special highlighting of grouping keywords ------------------------------------------------------------------------ r399 | mac | 2008-02-19 09:47:52 -0800 (Tue, 19 Feb 2008) | 4 lines 2008-02-19 Michael McNamara < mac at mail.brushroad.com > Incorporate GNU changes (entirely help text and comment english improvement) ------------------------------------------------------------------------ r398 | mac | 2008-02-19 09:12:49 -0800 (Tue, 19 Feb 2008) | 5 lines 2008-02-19 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-font-grouping-keywords-face): Add an enhancement to allow makingthe begin..end keywords standout more than other verilog keywords. (verilog-type-font-keywords): Move the begin..end out of this list to facilitate making them (potentially) stand out more ------------------------------------------------------------------------ r395 | mac | 2008-02-14 15:17:44 -0800 (Thu, 14 Feb 2008) | 5 lines 2008-02-14 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-backward-token): Fix indent of bare always{_*}?, initial, function & task blocks (verilog-behavioral-block-beg-re): Fix indent of bare always{_*}?, initial, function & task blocks ------------------------------------------------------------------------ r394 | mac | 2008-02-13 13:46:54 -0800 (Wed, 13 Feb 2008) | 7 lines 2008-02-13 Michael McNamara < mac at mail.brushroad.com > * verilog-mode.el (verilog-forward-sexp): Enhance to handle the new "disable fork" statement of IEEE-1800 Verilog (verilog-beg-block-re-ordered): Enhance to handle the new "disable fork" statement of IEEE-1800 Verilog (verilog-calc-1): Enhance to handle the new "disable fork" statement of IEEE-1800 Verilog (verilog-disable-fork-re): Add const to help handle the new "disable fork" statement of IEEE-1800 Verilog ------------------------------------------------------------------------ r393 | mac | 2008-02-07 15:49:27 -0800 (Thu, 07 Feb 2008) | 2 lines Remove FSF change that breaks FSF emacs version 21 ------------------------------------------------------------------------ r389 | mac | 2008-02-01 10:06:08 -0800 (Fri, 01 Feb 2008) | 3 lines 1) restore correct handling of autolineup of input, output and inout ports. Adding support for system verilog's additional optional types broke this. 2) synchronize with GNU changes ------------------------------------------------------------------------ r388 | mac | 2008-02-01 10:01:50 -0800 (Fri, 01 Feb 2008) | 1 line ------------------------------------------------------------------------ r387 | mac | 2008-02-01 08:46:17 -0800 (Fri, 01 Feb 2008) | 1 line Another sync with GNU changes ------------------------------------------------------------------------ r386 | mac | 2008-02-01 08:37:59 -0800 (Fri, 01 Feb 2008) | 1 line Sync with GNU changes ------------------------------------------------------------------------ r383 | wsnyder | 2008-01-07 08:13:25 -0800 (Mon, 07 Jan 2008) | 2 lines Fix Emacs 23.1 warnings about unsafe "Local Variables". [Dan Nicolaescu] ------------------------------------------------------------------------ r382 | wsnyder | 2008-01-07 07:41:52 -0800 (Mon, 07 Jan 2008) | 4 lines Cleanup spacing of )'s they should not be on unique lines. (Do it now to avoiding other Emacs people from fixing them and causing merge conflicts.) ------------------------------------------------------------------------ r381 | wsnyder | 2008-01-07 06:26:49 -0800 (Mon, 07 Jan 2008) | 8 lines Merge of changes from EMACS cvs 1.5. Including the last two SVN version the changes were: Reformat parts to 80 columns [nickrob] Doc fixes and compiler warning cleanups [gm] Remove unused functions and fix menu definitions [dann] Move functions before use [dann] Many source cleanups [dann] ------------------------------------------------------------------------ r380 | wsnyder | 2008-01-04 06:03:40 -0800 (Fri, 04 Jan 2008) | 3 lines More merges from GNU Emacs 22.1 These change some internals, but are believed to be fairly benign. ------------------------------------------------------------------------ r379 | wsnyder | 2008-01-03 12:35:19 -0800 (Thu, 03 Jan 2008) | 3 lines Merge white space and code movement changes from Emacs 22.1 mainline. This should have no functional change from earlier versions. ------------------------------------------------------------------------ r378 | wsnyder | 2008-01-03 10:50:17 -0800 (Thu, 03 Jan 2008) | 1 line Initial checkins for Emacs 22.1 cleanup ------------------------------------------------------------------------ r377 | wsnyder | 2007-12-07 09:21:25 -0800 (Fri, 07 Dec 2007) | 1 line Spelling fixes, plus sent to Emacs 22.2 release ------------------------------------------------------------------------ r375 | wsnyder | 2007-11-20 08:41:17 -0800 (Tue, 20 Nov 2007) | 1 line Fix hidden AUTOWIRE warnings when can't merge busses. [Brian Cassell] ------------------------------------------------------------------------ r374 | wsnyder | 2007-11-19 14:14:27 -0800 (Mon, 19 Nov 2007) | 1 line Fix AUTOWIRE missing signals only used in wire = (HERE), broke in r351. [Nitin Godiwala] ------------------------------------------------------------------------ r370 | mac | 2007-11-14 12:14:20 -0800 (Wed, 14 Nov 2007) | 8 lines 1) fix for verilog-pretty-declarations to handle all(!) of the System Verilog declaration syntaxes, including the optional prefixes, when doing auto line up (C-c C-i). 2) support pretty-declarations inside the "ANSI C Style" module declaration as well. (Thanks to David Smith Jr. for the bug report) ------------------------------------------------------------------------ r369 | wsnyder | 2007-11-02 06:23:25 -0700 (Fri, 02 Nov 2007) | 1 line Fixed ignoring of signal name case in AUTO_TEMPLATE. [Chakradhara Aradhyula] ------------------------------------------------------------------------ r368 | wsnyder | 2007-10-18 12:46:36 -0700 (Thu, 18 Oct 2007) | 1 line Minor documentation and code review cleanups ------------------------------------------------------------------------ r366 | mac | 2007-10-07 06:20:47 -0700 (Sun, 07 Oct 2007) | 1 line 1) Begining of support for unique|priority case. Things are better but there are still some nesting issues. ------------------------------------------------------------------------ r365 | mac | 2007-10-07 04:50:32 -0700 (Sun, 07 Oct 2007) | 2 lines 1) Another try at the constraint syntax - treat a ; followed by a } (ignoring whitespace) as a special token that closes a { list for a constraint, coverpoint, et cetera. 2) recognize "after" ------------------------------------------------------------------------ r364 | mac | 2007-09-27 06:14:45 -0700 (Thu, 27 Sep 2007) | 1 line 1) Fix bug in indent - Use "equal" instead of "=" to avoid end of buffer issues ------------------------------------------------------------------------ r363 | wsnyder | 2007-09-25 08:33:18 -0700 (Tue, 25 Sep 2007) | 1 line Fix AUTORESET with assertion labels [Julian Gorfajn] ------------------------------------------------------------------------ r362 | wsnyder | 2007-09-24 06:20:39 -0700 (Mon, 24 Sep 2007) | 1 line Remove duplicate signals from AUTOINST with Verilog-AMS [Matthias Vorwerk] ------------------------------------------------------------------------ r361 | wsnyder | 2007-09-22 10:58:23 -0700 (Sat, 22 Sep 2007) | 1 line Add verilog-symbol-detick-text to remove defines. [Keith Shaw] ------------------------------------------------------------------------ r357 | mac | 2007-09-21 17:05:51 -0700 (Fri, 21 Sep 2007) | 7 lines 1) Work to correctly indent coverpoints, cross and constraint definitions which use { } as does C, where as every other place in verilog begin end would be used. (Can you tell I am annoyed? :-) 2) Fix the case where an infinite loop would occur if one was lining up expressions and defining a module that includes parameters in the port list ------------------------------------------------------------------------ r356 | mac | 2007-09-17 18:00:46 -0700 (Mon, 17 Sep 2007) | 7 lines 1) highlight IEEE-1800 keywords normally, unless verilog-highlight-p1800-keywords is true 2) Some cleanups in indentation for parameterized modules 3) Some cleanups in verilog-pretty-expressions ------------------------------------------------------------------------ r355 | mac | 2007-09-17 09:56:26 -0700 (Mon, 17 Sep 2007) | 9 lines 1) Support mailbox and semaphore as datatypes 2) Better support the interaction of preprocessor directives and the new class/struct top level declarations. Bascially, verilog-begining-of-statement needed major work to handle the new keywords which have optional prefixes (extern/virtual/protected/typedef). ------------------------------------------------------------------------ r351 | wsnyder | 2007-09-10 06:27:05 -0700 (Mon, 10 Sep 2007) | 1 line Fix AUTOARG when used with Verilog 2001 parameters-in-header. [Bruce LaVigne] ------------------------------------------------------------------------ r348 | mac | 2007-08-08 14:39:39 -0700 (Wed, 08 Aug 2007) | 3 lines 1) Support clocking..endclocking blocks (indent, commenting) ------------------------------------------------------------------------ r347 | mac | 2007-08-07 15:56:09 -0700 (Tue, 07 Aug 2007) | 3 lines 1) Only alter compile-command if it is not nil ------------------------------------------------------------------------ r345 | mac | 2007-08-07 15:36:44 -0700 (Tue, 07 Aug 2007) | 4 lines 1) highlight preprocessor tokens in font-lock-preprocessor-face color ONLY WHEN DEFINED 2) correctly comment end blocks for foreach statements ------------------------------------------------------------------------ r344 | wsnyder | 2007-07-10 08:49:18 -0700 (Tue, 10 Jul 2007) | 2 lines Treat non-options in verilog-library-flags as library files. [Cliff Cummings] ------------------------------------------------------------------------ r343 | wsnyder | 2007-07-10 08:26:15 -0700 (Tue, 10 Jul 2007) | 7 lines Insert a comma before AUTOINST when ports obviously need it. [Cliff Cummings] Fixed verilog-inject-auto issues. [Cliff Cummings] > Fixed named parameters causing parsing errors. > Fixed assuming connections to forced unconnected ports. > Fixed ignoring lower/uppercase differences in ports. ------------------------------------------------------------------------ r342 | mac | 2007-06-27 16:23:29 -0700 (Wed, 27 Jun 2007) | 5 lines 1) Improve indent support for SystemVerilog extern/virtual/protected functions and task definitions. 2) Improve indent support for typedefs 3) highlight preprocessor tokens in font-lock-preprocessor-face color ------------------------------------------------------------------------ r336 | mac | 2007-05-31 16:33:09 -0700 (Thu, 31 May 2007) | 4 lines 1) fix bugs in support for covergroup 2) fix bugs in support for randsequence 3) begin support of Verilog-AMS ------------------------------------------------------------------------ r335 | wsnyder | 2007-05-21 10:53:11 -0700 (Mon, 21 May 2007) | 4 lines `verilog-linter', `verilog-coverage', `verilog-simulator', and `verilog-compiler' are now set to a message asking you to set them. Please set them to call your site specific tools in your site-start file. ------------------------------------------------------------------------ r334 | wsnyder | 2007-05-10 07:38:11 -0700 (Thu, 10 May 2007) | 1 line Add none option to verilog-tool/'Choose Compilation Action' menu. ------------------------------------------------------------------------ r333 | wsnyder | 2007-04-19 06:08:30 -0700 (Thu, 19 Apr 2007) | 1 line Minor updates to the Documentation. ------------------------------------------------------------------------ r332 | wsnyder | 2007-03-14 06:22:56 -0700 (Wed, 14 Mar 2007) | 1 line Support SystemVerilog .name connections in AUTOINST ------------------------------------------------------------------------ r331 | mac | 2007-03-02 17:07:12 -0800 (Fri, 02 Mar 2007) | 1 line Fix for lining up equal and <= statements [scott roland] ------------------------------------------------------------------------ r329 | mac | 2007-03-02 15:55:23 -0800 (Fri, 02 Mar 2007) | 1 line More fixes for the task declaration syntax [Schackow] ------------------------------------------------------------------------ r328 | wsnyder | 2007-02-27 09:59:04 -0800 (Tue, 27 Feb 2007) | 1 line Fix AUTOWIRE syntax error when the range is very long. [Yaohung Chang] ------------------------------------------------------------------------ r319 | mac | 2007-02-21 10:01:27 -0800 (Wed, 21 Feb 2007) | 4 lines 1) Make match-string-no-properties work on Xemacs 2) support proper indentation of constraint statements 3) make verilog-strip-comments work given things like '--' and '/*' in strings, or in comments 4) minor cleanups of unused functions and variables ------------------------------------------------------------------------ r317 | wsnyder | 2007-02-07 11:26:28 -0800 (Wed, 07 Feb 2007) | 1 line Fix' Variable binding depth exceeds max-specpdl-size'. [Lars E. Thon] ------------------------------------------------------------------------ r316 | wsnyder | 2006-12-15 12:36:34 -0800 (Fri, 15 Dec 2006) | 3 lines Add experimental support for reading SystemVerilog multidimmensional input/output declarations and placing into AUTOINST. [Satish Kulkarni] ------------------------------------------------------------------------ r315 | wsnyder | 2006-12-06 08:59:47 -0800 (Wed, 06 Dec 2006) | 4 lines Add verilog-getopt-flags-hook for customizing search directories. Repair -y and +incdir's options when inside a -f file of options. ------------------------------------------------------------------------ r314 | mac | 2006-11-14 08:13:02 -0800 (Tue, 14 Nov 2006) | 2 lines Insert a space between the "case" and the case expression when setting auto comments for endcase statement. [Alexander Schackow] ------------------------------------------------------------------------ r313 | wsnyder | 2006-11-13 06:17:55 -0800 (Mon, 13 Nov 2006) | 1 line Fix AUTOINSTPARAM ordering with module name. [Shyfool] ------------------------------------------------------------------------ r312 | wsnyder | 2006-11-09 11:29:08 -0800 (Thu, 09 Nov 2006) | 1 line In AUTOWIRE, ignore tri0/tri1 declarations. [Christopher Fisher] ------------------------------------------------------------------------ r311 | wsnyder | 2006-11-02 07:09:48 -0800 (Thu, 02 Nov 2006) | 1 line Documentation cleanups; nothing exciting... ------------------------------------------------------------------------ r306 | wsnyder | 2006-10-09 11:12:11 -0700 (Mon, 09 Oct 2006) | 1 line Fix AUTOINOUT and friends leaving trailing comma in arguments. [Matthew Lovell] ------------------------------------------------------------------------ r290 | mac | 2006-10-05 15:22:34 -0700 (Thu, 05 Oct 2006) | 3 lines 1) Add support for hide-show mode 2) fix bug in assignment lineup (thomas dejanovic) ------------------------------------------------------------------------ r288 | wsnyder | 2006-10-05 06:39:40 -0700 (Thu, 05 Oct 2006) | 1 line Cleaned up some documentation as suggested by M-x checkdoc. ------------------------------------------------------------------------ r285 | wsnyder | 2006-10-03 06:56:23 -0700 (Tue, 03 Oct 2006) | 5 lines In --batch mode, report error if file not found. [Aibing Zhou] In --batch mode, add "%Error:" prefix to errors, so tools can determine what is a error and what is just informational. ------------------------------------------------------------------------ r269 | wsnyder | 2006-09-29 13:30:16 -0700 (Fri, 29 Sep 2006) | 1 line Fix verilog-expand-dirnames with subversion externals. [Thomas Dejanovic] ------------------------------------------------------------------------ r268 | wsnyder | 2006-09-29 13:24:02 -0700 (Fri, 29 Sep 2006) | 1 line Allow AUTO_LISP parsing before any AUTOs expanded [Aibing Zhou] ------------------------------------------------------------------------ r266 | mac | 2006-09-19 09:09:50 -0700 (Tue, 19 Sep 2006) | 5 lines 1) Improve support for sequence (indentation, auto-comment) 2) Finish adding auto-commenting for class, covergroup and program ------------------------------------------------------------------------ r264 | mac | 2006-09-15 11:09:10 -0700 (Fri, 15 Sep 2006) | 3 lines Fix for issues with property..endproperty indentation, which revealed a more general issue with verilog-leap-to-head, which is now fixed. ------------------------------------------------------------------------ r258 | wsnyder | 2006-08-31 13:16:44 -0700 (Thu, 31 Aug 2006) | 1 line Fix crazy lookup ordering of verilog-library-extentions. [Aibing Zhou] ------------------------------------------------------------------------ r257 | wsnyder | 2006-08-25 10:53:17 -0700 (Fri, 25 Aug 2006) | 1 line Add verilog-auto-unused-ignore-regexp. [Michael Reeves] ------------------------------------------------------------------------ r256 | wsnyder | 2006-08-22 06:45:19 -0700 (Tue, 22 Aug 2006) | 4 lines Fix AUTO expansion with multiple modules in one file. Fix 'endmodule not found' when edit header comments in a file between different AUTO expansions. [Michael Reeves] ------------------------------------------------------------------------ r255 | wsnyder | 2006-08-22 06:27:56 -0700 (Tue, 22 Aug 2006) | 1 line Fix 'always @ (*)' looking like a attribute. Broke in r251. [Michael Reeves] ------------------------------------------------------------------------ r254 | wsnyder | 2006-08-16 11:01:37 -0700 (Wed, 16 Aug 2006) | 1 line Add verilog-batch-indent for Unix reindentation [Max Baker]. ------------------------------------------------------------------------ r253 | wsnyder | 2006-08-09 14:08:07 -0700 (Wed, 09 Aug 2006) | 1 line Add AUTOINSTPARAM for parameter connections. [Shalin Sheth] ------------------------------------------------------------------------ r252 | wsnyder | 2006-08-09 13:33:39 -0700 (Wed, 09 Aug 2006) | 1 line AUTO Internal only changes to read parameters. ------------------------------------------------------------------------ r251 | wsnyder | 2006-08-08 11:46:50 -0700 (Tue, 08 Aug 2006) | 1 line Fix (*attributes*) being mis-read in AUTOs [George Smith] ------------------------------------------------------------------------ r246 | mac | 2006-06-21 15:32:17 -0700 (Wed, 21 Jun 2006) | 13 lines 1) support property .. endproperty - note that "property" can also be used as syntaxtic sugar to reference a property, breaking the clean design of verilog; and requiring a hack to support. 2) beginnings of support for struct & union. The &%(* committee chose to use {} for the struct body encapsulation instead of verilog convention of endstruct or endunion, making this very difficult. 3) Cleanup of verilog-pretty-expr to properly lineup '=' and '<=' in a region 4) Re-ordering of the various indent matchers to be more regular. SystemVerilog has many many containers (begin .. end, function .. endfunction) and this could use more enhancements in the future. ------------------------------------------------------------------------ r244 | wsnyder | 2006-06-06 06:15:37 -0700 (Tue, 06 Jun 2006) | 3 lines Add verilog-batch-delete-auto and verilog-batch-inject-auto for batch AUTO deletion and injection. [Cathy Hsieh] ------------------------------------------------------------------------ r243 | wsnyder | 2006-05-22 06:01:09 -0700 (Mon, 22 May 2006) | 1 line Fix ifndef inside AUTOSENSE, etc. [Ken Schmidt] ------------------------------------------------------------------------ r242 | wsnyder | 2006-05-20 07:06:00 -0700 (Sat, 20 May 2006) | 1 line Fix ifndef inside AUTORESET blocks. [Ken Schmidt] ------------------------------------------------------------------------ r240 | wsnyder | 2006-05-05 14:46:14 -0700 (Fri, 05 May 2006) | 1 line Fix --verilog-batch-auto usage to show filenames come first ------------------------------------------------------------------------ r239 | wsnyder | 2006-04-26 06:46:11 -0700 (Wed, 26 Apr 2006) | 1 line Add verilog-mode FAQ ------------------------------------------------------------------------ r222 | mac | 2006-04-20 14:34:21 -0700 (Thu, 20 Apr 2006) | 29 lines 1) Create verilog-auto-lineup customization variable Use it to control if declarations should be automatically lined up. Use it to control if assignments should be automatically lined up. By default this variable is set to (declarations) which turns on declaration lineup, but not assignment lineup. Typing M-x verilog-customize RET and selecting Indent brings up a buffer where you can change the setting, save it as your default, and so on. 2) Properly indent constructs inside a generate block, as in the following; perviously the wire and the end after the wire would be incorrectly indented: module test(); reg [3:0] x; genvar i; generate for(i=0; i<4; i=i+1) begin:a always @(*) begin x[i] = 1; end wire y = 0; end endgenerate endmodule // test ------------------------------------------------------------------------ r221 | wsnyder | 2006-03-28 10:42:21 -0800 (Tue, 28 Mar 2006) | 1 line Fix 'symbol definition is void: pop'. [Michael Elsasser] ------------------------------------------------------------------------ r220 | wsnyder | 2006-03-28 10:35:00 -0800 (Tue, 28 Mar 2006) | 1 line Documentation of [] needed for AUTOWIRE. [Rob Totte] ------------------------------------------------------------------------ r219 | wsnyder | 2006-03-24 05:36:46 -0800 (Fri, 24 Mar 2006) | 1 line Add verilog-delete-auto-hook for customization [Aings Aingaran] ------------------------------------------------------------------------ r217 | wsnyder | 2006-02-27 05:49:44 -0800 (Mon, 27 Feb 2006) | 1 line Fix multi-dimmensional wires in AUTOSENSE. [George Smith] ------------------------------------------------------------------------ r216 | wsnyder | 2006-02-23 08:34:57 -0800 (Thu, 23 Feb 2006) | 3 lines Don't add "// Beginning" and "// End" comments for AUTOs when there's nothing to put between them. ------------------------------------------------------------------------ r215 | wsnyder | 2006-02-23 08:11:02 -0800 (Thu, 23 Feb 2006) | 4 lines Create AUTOUNUSED, for tieing unused inputs to a single signal. Used in stub file creation to appease linting tools. See help on verilog-auto-unused. ------------------------------------------------------------------------ r214 | wsnyder | 2006-02-23 07:32:32 -0800 (Thu, 23 Feb 2006) | 3 lines Add AUTOTIEOFF, wire ties off all outputs for stub files. See help on verilog-auto-tieoff. ------------------------------------------------------------------------ r213 | wsnyder | 2006-02-23 06:44:48 -0800 (Thu, 23 Feb 2006) | 3 lines Add verilog-active-low-regexp, so AUTORESET signals can be tied to active-low deasserted (~'b0). ------------------------------------------------------------------------ r212 | wsnyder | 2006-02-16 14:27:33 -0800 (Thu, 16 Feb 2006) | 1 line Add verilog-auto-inout-ignore-regexp. ------------------------------------------------------------------------ r211 | wsnyder | 2006-02-16 08:47:07 -0800 (Thu, 16 Feb 2006) | 1 line Fix AUTOINOUT including ~signals. ------------------------------------------------------------------------ r210 | wsnyder | 2006-02-09 17:18:49 -0800 (Thu, 09 Feb 2006) | 5 lines Created verilog-batch-auto, to reduce errors when invoking autos in scripts. The best way to invoke autos from the shell is now: emacs --batch -f verilog-batch-auto FILENAME_V [...] Be sure to list multiple interrelated files in bottom-up order. [Aibing Zhou] ------------------------------------------------------------------------ r209 | wsnyder | 2006-02-07 07:09:03 -0800 (Tue, 07 Feb 2006) | 3 lines Add regexps to AUTO_TEMPLATE to allow setting value of @s. [James Cavanaugh] This is very useful, see M-x describe-function verilog-auto-inst for details. ------------------------------------------------------------------------ r208 | wsnyder | 2006-02-06 14:21:29 -0800 (Mon, 06 Feb 2006) | 1 line Fix literal constants in AUTOINPUT concats [Victor Lau] ------------------------------------------------------------------------ r203 | mac | 2006-02-03 09:27:56 -0800 (Fri, 03 Feb 2006) | 1 line Support program and covergroup statments ------------------------------------------------------------------------ r198 | mac | 2006-02-03 08:07:00 -0800 (Fri, 03 Feb 2006) | 1 line Fix for function/task indent. Previous enhancement for "extern function" broke indent when two or more tasks/functions are declared in sequentially. ------------------------------------------------------------------------ r197 | mac | 2006-01-27 11:21:36 -0800 (Fri, 27 Jan 2006) | 1 line correctly indent extern task and function declarations ------------------------------------------------------------------------ r195 | wsnyder | 2006-01-25 11:09:32 -0800 (Wed, 25 Jan 2006) | 1 line Enable verilog-auto-reset-widths by default ------------------------------------------------------------------------ r194 | wsnyder | 2006-01-16 06:18:57 -0800 (Mon, 16 Jan 2006) | 4 lines AUTOINPUT/AUTOOUTPUT/AUTOINOUT/AUTOINOUTMODULE now create Verilog 2001 ports when placed inside the parenthesis of a module decl. [Bruce A Tennant] ------------------------------------------------------------------------ r193 | mac | 2006-01-11 15:21:25 -0800 (Wed, 11 Jan 2006) | 12 lines 1) Fix so AUTO works again on XEmacs (XEmacs's implementation of "local-variable-p" requires two arguments; FSF Emacs requires only one, but optionally accepts the second, which has the same purpose as XEMacs's required second argument) 2) Fix verilog-pretty-declarations to work correctly given stuff like output reg signed [31:0] a; The previous version tried to address this, but did so incorrectly, resulting in mis aligned indentation given some cases. ------------------------------------------------------------------------ r188 | mac | 2006-01-06 13:01:05 -0800 (Fri, 06 Jan 2006) | 1 line Indent directives in a parenthetical list correctly ------------------------------------------------------------------------ r162 | mac | 2006-01-05 16:21:08 -0800 (Thu, 05 Jan 2006) | 5 lines 1) Treat formfeed as whitespace when indenting 2) Do not improperly indent things like " input reg_foo; " as " input reg _foo; " 3) Restore the Statment menu item to XEmacs ------------------------------------------------------------------------ r161 | wsnyder | 2005-12-13 11:07:18 -0800 (Tue, 13 Dec 2005) | 4 lines Allow AUTOWIRE etc to recognize signals inside {} concats from AUTOINSTs. [Bruce Tennant] ------------------------------------------------------------------------ r159 | wsnyder | 2005-11-29 06:37:57 -0800 (Tue, 29 Nov 2005) | 1 line Add verilog-mode testbench ------------------------------------------------------------------------ r158 | wsnyder | 2005-11-29 05:59:01 -0800 (Tue, 29 Nov 2005) | 24 lines Merges from Wilson's 4.11.1.5; all future changes will be made directly here. 1) Add C-c C-z verilog-inject-auto, which adds AUTOs to a non-AUTO design. [Tad Truex] 2) Expand SystemVerilog .* and remove when writing to disk. [Cliff Cummings] See verilog-auto-star for documentation on this and related variables. 3) Add verilog-library-flags for standard Verilog-XLish params. [Cliff Cummings] 4) Remove useless -(0) from AUTORESETs. 5) Allow "(// Outputs" to be recognized for AUTOWIRE. 6) Add verilog-auto-output-ignore-regexp to AUTOOUTPUT. [Tad Truex] 7) Add vl-dir for determining AUTOTEMPLATE directions 8) AUTOINST now works with arrays of instants. [Carl Crawford] 9) Fix local-variables changing on entering verilog-mode. [Mat Zeno] 10) Fix loss of highlighting on errors. [Mat Zeno] ------------------------------------------------------------------------ r156 | mac | 2005-11-17 15:10:02 -0800 (Thu, 17 Nov 2005) | 2 lines Properly indent after SystemVerilog newly nameable items (end, endtask, endinterface, et cetera) ------------------------------------------------------------------------ r149 | mac | 2005-11-17 11:41:32 -0800 (Thu, 17 Nov 2005) | 4 lines Move defsubst around to avoid compiler warning messages Try to cleanup regexp-opt to avoid compiler warning messages Add more requires to avoid compiler warning messages ------------------------------------------------------------------------ r141 | mac | 2005-11-06 09:32:39 -0800 (Sun, 06 Nov 2005) | 2 lines Support systemverilog's randcase when indenting and endcommenting ------------------------------------------------------------------------ r140 | mac | 2005-10-27 14:16:02 -0700 (Thu, 27 Oct 2005) | 4 lines 1) Fixed error occuring when adding stuff after an else 2) eliminated partial coloration of V2K parameter overrides 3) added coloration of task/function/instatiations ------------------------------------------------------------------------ r134 | mcnamara | 2005-10-21 15:48:07 -0700 (Fri, 21 Oct 2005) | 2 lines Add support for class/endclass container ------------------------------------------------------------------------ r133 | mcnamara | 2005-07-18 11:44:21 -0700 (Mon, 18 Jul 2005) | 3 lines Indent declarations in paort list support multi dimentional arrays ------------------------------------------------------------------------ r127 | mac | 2005-04-27 17:56:54 -0700 (Wed, 27 Apr 2005) | 10 lines 1) properly set compile-command based on the presence of a [mM]akefile in the current directory. If there is one, set compile command to "make". If there isn't one, key off the menu definition of Verilog->Choose Compilation Action' to run the defined lint, compile, sim or coverage tool. 2) recognize "signed" when lining up declarations around point. 3) Properly indent config blocks 4) C-c C-t D adds a definition of the signal under the mouse to the module, prompting you for size. ------------------------------------------------------------------------ r126 | mac | 2005-04-25 14:45:33 -0700 (Mon, 25 Apr 2005) | 2 lines Fix new comment skipping code to work at top of buffer ------------------------------------------------------------------------ r125 | mac | 2005-04-21 15:33:29 -0700 (Thu, 21 Apr 2005) | 3 lines 1) Add verilog-typedef-regexp for typedef'ed AUTOs [From Daniel Yee]. 2) Fix a bug introduced in the last change for auto indentation ------------------------------------------------------------------------ r124 | mac | 2005-04-20 17:18:34 -0700 (Wed, 20 Apr 2005) | 9 lines 1) Incorporated many auto fixes from Wilson - Fix submodule names including the word 'module'. [Einar Fredriksen] - Accept ifdef in v2k port declarations. [Einar Fredriksen] - If a `define has no value, imply a 1. [David Shepherd] 2) Improved indentation anomalies given comments like //* 3) Fixed indentaion anolomalies in seqential assign statements 4) Updated some email addresses ------------------------------------------------------------------------ r123 | mac | 2005-02-22 22:58:36 -0800 (Tue, 22 Feb 2005) | 2 lines Extra ) removed... ------------------------------------------------------------------------ r122 | mac | 2005-02-22 12:49:06 -0800 (Tue, 22 Feb 2005) | 5 lines 1) Update my email address -> use @verilog.com now 2) fix for indentation in generates 3) fix declaration of IEEE-P1800 font 4) remove electric = as it does nothing. ------------------------------------------------------------------------ r119 | mac | 2004-12-03 15:05:24 -0800 (Fri, 03 Dec 2004) | 6 lines 1) Highlight the new P1800 keywords in a DarkOrange3 color so that we will learn to avoid using them as variable names. 2) Deal with differing number of arguments for reg-exp by using function-min-args rather than what the name of emacs is. ------------------------------------------------------------------------ r118 | mac | 2004-11-24 15:54:09 -0800 (Wed, 24 Nov 2004) | 8 lines 1) Handle differing number of required arguments for regexp-opt by using function-min-args rather than depending on the fact that XEMacs requires three and FSF emacs requires two; as now modern XEmacs require just two. 2) provide declaration of vl-name and vl-width so that the byte compiler is happy. ------------------------------------------------------------------------ r117 | mac | 2004-11-23 15:40:42 -0800 (Tue, 23 Nov 2004) | 13 lines First support for some System Verilog constructs ------------------------------------------------ always_ff, always_comb fork .. join_any, join_none packages interfaces return, break, continue generate named ends (basically, don't auto comment these) final blocks Also some enhancements to the AUTO functionality ------------------------------------------------------------------------ r116 | mac | 2004-08-25 17:09:14 -0700 (Wed, 25 Aug 2004) | 7 lines * Recognize localparam * Add support for AUTOINOUT * Enhance compilation support * Provide a method to auto indent entire file (verilog-indent-buffer) * ignore hierarchical references in certain autosense situations * provide some template debugging hooks ------------------------------------------------------------------------ r115 | mac | 2004-02-27 17:25:29 -0800 (Fri, 27 Feb 2004) | 4 lines * Support XSIM error/warning messages * Properly highlight gate definitions (and/or/xor, nmos/pmos et cetera) * Handle parameterized `defines in autosense ------------------------------------------------------------------------ r114 | mac | 2004-01-19 13:44:18 -0800 (Mon, 19 Jan 2004) | 2 lines Support generate ------------------------------------------------------------------------ r113 | mac | 2003-07-14 09:36:08 -0700 (Mon, 14 Jul 2003) | 6 lines * Enhancements to AUTORESET, which attempts to correctly recognize widths of items to be reset, and to use non blocking assigns where deemed necessary. * improvements in support for 1364-2001 features (signed constants, generates, module instantiation of parameters overrides by name). ------------------------------------------------------------------------ r112 | mac | 2002-05-18 21:55:46 -0700 (Sat, 18 May 2002) | 3 lines Incorporate Geert Van der Plas < geert_vanderplas at email.com >'s idea to make include files 'magic': click mouse two over an include statement and emacs finds the file in another buffer. ------------------------------------------------------------------------ r111 | mac | 2002-04-17 16:36:19 -0700 (Wed, 17 Apr 2002) | 9 lines 1) Fixed AUTOINPUT including constants. [Anup Sharma] 2) Use case not casex in AUTOASCIIENUM 3) Allow $ENV_VAR in verilog-library-directories 4) Fixed defines with comments following 5) Read parameters in include files and omit from AUTOSENSEs. [Tom Heynemann] [Paul Gifford] 6) Fixed (/*AS*/) if () begin end else begin end [Mandeep Chadha] 7) recognize a few more error message regular expressions ------------------------------------------------------------------------ r110 | mac | 2002-03-25 16:04:08 -0800 (Mon, 25 Mar 2002) | 3 lines 1) Correctly handle parameters in auto lineing up expressions (C-=) 2) Support emacs 19.34 (define a char-before macro, as it is missing in that release) ------------------------------------------------------------------------ r108 | mac | 2001-08-13 11:46:41 -0700 (Mon, 13 Aug 2001) | 2 lines More doc cleanup ------------------------------------------------------------------------ r105 | mac | 2001-07-27 16:18:09 -0700 (Fri, 27 Jul 2001) | 2 lines Bug fixes in auto directory searching ------------------------------------------------------------------------ r104 | mac | 2001-07-27 16:15:38 -0700 (Fri, 27 Jul 2001) | 2 lines Fix typo in generate ------------------------------------------------------------------------ r103 | mac | 2001-05-21 10:57:00 -0700 (Mon, 21 May 2001) | 15 lines 1) Wilson Snyder incorporated some suggested enhancements from John Watters < watters at agere.com >, that arrived in the best form: code! 2) New variable verilog-auto-read-includes to automatically `verilog-read-defines' and `verilog-read-includes' on every file. While this will make things slower, it will allow better support of folks who are using `defines and autos. It is still better practice to use parameters, as they are of local scope. 3) Allow verilog-library-directories to include * wildcards. 4) verilog-pretty-expr 5) Added AUTORESET, from Chris Kappler < ckappler at hhnetwk.com > 6) Fixed if AUTOINST is commented out. [Josef Wells] 7) Spelling fixes 8) Merge in doc fixes 9) Verilog-mode can insert comments to control both SureLint and verilint ------------------------------------------------------------------------ r102 | mac | 2001-05-16 18:02:09 -0700 (Wed, 16 May 2001) | 4 lines 1) Only recognize lint_off; not lint_offending and other varients 2) map verilog-surelint-off to C-c\` instead of C-c` which didn't work 3) Add menu pull down for ignoring lint errors. ------------------------------------------------------------------------ r101 | mac | 2001-02-23 15:55:23 -0800 (Fri, 23 Feb 2001) | 5 lines 1) Eliminated some unused variables. 2) arranged that more variables are reported in verilog-submit-bug-report. 3) fixed problem with /// confusing commenting. ------------------------------------------------------------------------ r100 | mac | 2001-01-24 22:35:32 -0800 (Wed, 24 Jan 2001) | 5 lines 1) Clean up verilog-tool selection to work in all cases 2) Fix to work better with SureLint 3) Fix imenu expression 4) Don't bind M-C-h; instead bind meta control h, as this work better on all emacs ------------------------------------------------------------------------ r99 | mac | 2000-11-03 14:50:42 -0800 (Fri, 03 Nov 2000) | 2 lines Made Statement header self documenting (thanks wilson) ------------------------------------------------------------------------ r98 | mac | 2000-10-27 10:15:50 -0700 (Fri, 27 Oct 2000) | 6 lines 1) provide keyboard short cut hints in statment skeleton builder menu 2) Hopefully the final method for using regexp-opt in a ancient emacs/GNU-emacs/XEmacs indenpendent way 3) verilog-library-extentions for autosense 4) allow user to indent lists specially; or just as continued code. ------------------------------------------------------------------------ r97 | mac | 2000-10-09 18:03:41 -0700 (Mon, 09 Oct 2000) | 12 lines 1) Merge regexp-opt handling so that it works with the version from XEmacs, FSF emacs, and each when they don't happen to provide a regexp-opt 2) Define verilog-running-on-xemacs, and use that instead of always testing 'string match emacs-version...' 3) Incorporate changes from Wilson: verilog-read-defines supports recursion (from 3.43.1.7) GNU Emacs Compile warnings fixed M-x checkdoc warnings fixed ------------------------------------------------------------------------ r96 | mac | 2000-08-23 15:32:17 -0700 (Wed, 23 Aug 2000) | 4 lines * Template insertion is ready for first release * completion of keywords and variables has had many fixes * highlighting translate off regions is turned off by default (it is SLOW) ------------------------------------------------------------------------ r95 | mac | 2000-08-10 18:59:19 -0700 (Thu, 10 Aug 2000) | 2 lines Minor fixes ------------------------------------------------------------------------ r94 | mac | 2000-08-10 18:54:59 -0700 (Thu, 10 Aug 2000) | 3 lines Added a template capability, using the skeleton package. Now you can type C-c C-t m and it will create a module for you, and so on. ------------------------------------------------------------------------ r93 | mac | 2000-06-30 20:00:07 -0700 (Fri, 30 Jun 2000) | 3 lines * Include 'doc fixes' to make code fit GNU coding styles. * Include fix for hierarchal names appearing in auto lists ------------------------------------------------------------------------ r92 | mac | 2000-06-27 03:09:13 -0700 (Tue, 27 Jun 2000) | 17 lines Include Wilsons enhancements: - match-string-no-properties doesn't exist in Xemacs. - Omit signals that are manually put just before AUTOSENSE - AUTOARG supports ports before the comment - AUTOINST can now be placed after a list of ports that should not be changed, sort of like a simple embeded AUTO_TEMPLATE. - Fixed xemacs compatibility bug with lisp in auto_templates [SSingh] My added value: Fixed a problem with autoindent that only showed up in one version of XEmacs. Fixed a problem with autoindent of certain code (pr 6663) ------------------------------------------------------------------------ r91 | mac | 2000-06-01 11:18:00 -0700 (Thu, 01 Jun 2000) | 2 lines Fix for folks that don't have defface ------------------------------------------------------------------------ r90 | mac | 2000-06-01 11:00:01 -0700 (Thu, 01 Jun 2000) | 6 lines 1) Fix for GNU emacs. It seems that XEmacs and GNU emacs don't agree on how many arguments regexp-opt accepts. *sigh* 2) correctly highlight and indent `if, `ifndef, `let, `while and other vpp tokens. ------------------------------------------------------------------------ r89 | mac | 2000-05-17 14:02:19 -0700 (Wed, 17 May 2000) | 4 lines Use regexp-opt where possible Use levels of font-lock Provide highlight of tranlate_off regions ------------------------------------------------------------------------ r86 | mac | 1999-12-19 16:14:16 -0800 (Sun, 19 Dec 1999) | 3 lines Added verilog-generate-numbers and verilog-insert-indices, courtesy of "Brian Silveira" < brian at nortelnetworks.com > ------------------------------------------------------------------------ r85 | mac | 1999-11-02 11:20:36 -0800 (Tue, 02 Nov 1999) | 5 lines 1) Made copyright figure out the date. 2) Fixed the verilog menu so that it works with XEmacs version 20. I tested it with XEmacs 21, and with FSF 19. ------------------------------------------------------------------------ r84 | mac | 1999-11-01 17:24:07 -0800 (Mon, 01 Nov 1999) | 3 lines 1) The regular expression for SureLint's error messages required a change. 2) Cleanup of some unused variables. ------------------------------------------------------------------------ r83 | mac | 1999-10-30 22:48:10 -0700 (Sat, 30 Oct 1999) | 6 lines 1) better error message handling (especially for surelint and Verilog-XL) 2) recognize that '@(foo) begin' is potentially a complete statement 3) handle gratitous begin-end pairs better 4) more fixes from Wilson for defines, already documented but some how not included. 5) fix in verilog-backward-sexp ------------------------------------------------------------------------ r82 | mac | 1999-10-18 17:16:35 -0700 (Mon, 18 Oct 1999) | 5 lines 1) The rest of the enhancements from Wilson; as documented in the 3.34 log. 2) enhancements of the 'verification cockpit' interface, which allows lint/coverage/simulation/compilation all from the emacs window. 3) deletion of extraneous whitespace. ------------------------------------------------------------------------ r81 | mac | 1999-10-07 17:44:48 -0700 (Thu, 07 Oct 1999) | 2 lines Turn off new code area. ------------------------------------------------------------------------ r80 | mac | 1999-10-07 17:38:48 -0700 (Thu, 07 Oct 1999) | 10 lines 1) rework customization area to be more intuitive 2) add ability to select lint/coverage/simulation/compilation function for M-x compile 3) more auto fixes and enhancements from Wilson: General wildcards inside AUTO_TEMPLATE AUTO_TEMPLATE Allow [] to compute bus scripts AUTO_TEMPLATE @"vl-bits" can be used to compute bus subscripts AUTOSENSE works with casex ... 4'b???1: ... Added AUTOREGINPUT, verilog-auto-reg-input from der@chezdd.com ------------------------------------------------------------------------ r79 | mac | 1999-09-10 11:53:02 -0700 (Fri, 10 Sep 1999) | 2 lines Suggested enhancements to delay colorization. ------------------------------------------------------------------------ r78 | mac | 1999-06-04 13:17:55 -0700 (Fri, 04 Jun 1999) | 4 lines 1) Tuning of verilog-error-regexp 2) support for different file name extentions for AUTO 3) Better highlight regexps for numbers ------------------------------------------------------------------------ r77 | mac | 1999-06-01 13:21:22 -0700 (Tue, 01 Jun 1999) | 28 lines 1) Incorporate wilsons changes: Handle define substitution within define substituations Fixed AUTO_TEMPLATES with @ and @"()" together, for example .foo (c@_foo@"(+ phy)"_bar), Added AUTO_LISP(lispexpression) parsed between each AUTO_INST to allow more complicated templates. AUTOs supports escaped identifiers Indent `ifdef/`else/`ifdef in block style, see verilog-indent-level-directive Avoid setting modified flag when no indentation changes verilog-auto-inst-vector mode for slower simulators. 2) Clean up of font lock regexp optimizations which now no longer include xemacs only hacks. 3) Fix of a bad 'bad end' complaint in tasks 4) Some attempt to better exist with compilation error regexp code 5) Support emacs version > 20 6) Wilson's new email addresses ------------------------------------------------------------------------ r76 | mac | 1999-04-11 23:14:16 -0700 (Sun, 11 Apr 1999) | 4 lines 1) Added more regular expressions for various error messages. 2) fixed some more typos in other emacs highlighting strings. 3) fixed a problem that resulting in imenu not working just right ------------------------------------------------------------------------ r75 | mac | 1999-04-01 11:37:53 -0800 (Thu, 01 Apr 1999) | 5 lines Fixed a problem where I optimized the regular expression to match things like integer and reg, but in the optimized version, it doesn't check for word boundries. This resulted in the indenter messing up variables that start with a verilog keyword. ------------------------------------------------------------------------ r74 | mac | 1999-03-29 16:30:05 -0800 (Mon, 29 Mar 1999) | 3 lines 1) Fix for newer FSF font lock. 2) Fix for function name highlighting ------------------------------------------------------------------------ r73 | mac | 1999-02-05 13:28:14 -0800 (Fri, 05 Feb 1999) | 2 lines Updated ------------------------------------------------------------------------ r72 | mac | 1999-01-16 16:45:37 -0800 (Sat, 16 Jan 1999) | 3 lines 1) Fix errors in the regexp on non eight bit emacses. 2) Use regexp-opt to optimize some regexps ------------------------------------------------------------------------ r71 | mac | 1999-01-07 12:09:13 -0800 (Thu, 07 Jan 1999) | 2 lines 1) verilog compile now can be of form "(cd /tmp; vcs -P foo.tab %s )", and the %s will be substituted ------------------------------------------------------------------------ r69 | mac | 1998-12-29 14:39:35 -0800 (Tue, 29 Dec 1998) | 2 lines Recognize 'disable' as a keyword ------------------------------------------------------------------------ r68 | mac | 1998-12-22 10:44:51 -0800 (Tue, 22 Dec 1998) | 3 lines 1) Fix a bug in minimum comment distance calculation 2) make indent code work better given 0 indent variables. ------------------------------------------------------------------------ r67 | mac | 1998-12-11 18:30:18 -0800 (Fri, 11 Dec 1998) | 10 lines highlight tri as well as tri1 et al verilog-read-defines now accepts filename verilog-read-includes added Added verilog-auto-save-policy setting, which will ask user when attempt to save buffer that hasn't been AUTO updated. Allow template lines with @"(expr)" othertext @"(expr)" Fix AUTOINST on modules that have no inputs Fixed AUTOSENSE case() 1'b1: mux = sel ? a:b; Email address change ------------------------------------------------------------------------ r66 | mac | 1998-10-01 18:13:54 -0700 (Thu, 01 Oct 1998) | 2 lines Add error expressionss for VCS ------------------------------------------------------------------------ r65 | mac | 1998-09-25 14:21:12 -0700 (Fri, 25 Sep 1998) | 4 lines 1) fix for correctly identifing functions that return integer or real, both in auto comment, and in highlight 2) allow no white space after declaration type when lining up. ------------------------------------------------------------------------ r64 | mac | 1998-09-15 10:56:10 -0700 (Tue, 15 Sep 1998) | 14 lines 1) make tab inserting a comment optional, and by default off 2) make minimum-coment distance count lines, not characters, and make the minimum number of lines 10. 3) fix a bug with begin-end matching. 4) fix a bug with color codeing function range, not function name, and commenting end function with the range word (i.e., integer or real) 5) support lining up declarations with `defined ranges. 6) eliminate a bug where verilog mode was setting the wrong variable for error-regexp matching 7) verilog-auto-input now correctly excludes regs. 8) Allow expansion of vh- variables in AUTOSENSE. This allows `define FOO BAR[2:3] to work correctly when FOO is in a autosense, but it won't work for anything more complicated. ------------------------------------------------------------------------ r63 | mac | 1998-09-03 11:35:57 -0700 (Thu, 03 Sep 1998) | 9 lines Numerous changes: 1) highlight: support _ in delays. 2) highlight release as a keyword. 3) include continuous assigns when lining up declarations. 4) include wire delays when lining up declarations. 5) include `macro expansions as ranges when lining up declarations. 6) fix indent bug in confusing case/begin line up. 7) fix indent bug if // occurs a in string. ------------------------------------------------------------------------ r62 | mac | 1998-08-26 14:00:27 -0700 (Wed, 26 Aug 1998) | 3 lines 1) Added verilog-header function 2) made work with old (v 19.34) of GNU emacs ------------------------------------------------------------------------ r61 | mac | 1998-07-21 16:42:12 -0700 (Tue, 21 Jul 1998) | 16 lines Changes from Wilson: Fixed when {}'s proceed inputs, bit vector for next signal may be wrong Warn about unmatched quotes, comments Check for writability before doing autos, so don't corrupt buffer if user aborts Fixed bug in string-replace-matches when removing from end of line Fixed AUTOINST inside modules which have no arguments: module foo; Allow quotes in AUTO_TEMPLATE exal's @"". Must be escaped: .foo (@"(if (eq @ 0) \"a\" \"b\")"), Enhancement from Elliot Mednick: I modified verilog-mode to make the electric-verilog-tab act more like perl mode. That is, press tab once, and it reindents. Press twice, and it creates a line comment. Press again, and it removes the line comment. ------------------------------------------------------------------------ r60 | mac | 1998-06-12 12:30:39 -0700 (Fri, 12 Jun 1998) | 3 lines Line up text following a begin that is on the same line as a continued parenthetical expression correctly ------------------------------------------------------------------------ r59 | mac | 1998-06-10 20:01:44 -0700 (Wed, 10 Jun 1998) | 5 lines 1) Incorporate Wilson's changes 2) support `protect//`endprotect 3) add verilog-indent-parentehtical which selects how continued lists are treated. ------------------------------------------------------------------------ r58 | mac | 1998-05-05 10:30:08 -0700 (Tue, 05 May 1998) | 4 lines 1) Make building of verilog-mode syntax table unconditional. 2) make ; in escaped names not force a newline 3) insert let for local variable keywd ------------------------------------------------------------------------ r57 | mac | 1998-05-05 09:09:12 -0700 (Tue, 05 May 1998) | 38 lines From wilson: 1) Added spaces to make verilint comments align nicely. 2) Another missing case[var] problem, made general. 3) Assign reinstall; missed case. 4) Added back assigns, else reg gets added in AUTOREG when it isn't needed. 5) Need to expect rvalue after ; in cases; is value. 6) User visible: The case case(1'b1) st[0]: o = i; endcase will now have st in the AUTOSENSE list. If the st is instead `some_define, that too will be in the AUTOSENSE list. If that isn't desired, see the AUTO_CONSTANT information under help for verilog-auto-sense User invisible changes: ce.el 7) Added verilog-verilint-off for converting verilint warnings to disable 8) Added verilog-expand-vector to menu. 9) Made autowire work with inouts. 10) Don't put PLI tasks in AUTOSENSE lists 11) Allow /* xxx AUTO_TEMPLATE 12) AUTOINST Adds "// Templated" comment 13) Ignore parameters in autosense 14) Allow AUTOS to work in buffers not associated with a filename. 14) Eq instead of looking-at in parser for 10+% speedup. 11) Handle ap; lines: +150 -85 12) Fixed verilog-goto-defun-file to actually do something 13) Fixed emacs-19 fontlock problem with AUTOINST 14) Put buffers into verilog-mode automatically when finding them 15) Rewrite of read-always-signals to do recursive decent 16) Added AUTO_CONSTANT 17) Fixed reading: // Outputs .outgo2 ( outgo ), 18) Spaces in read-sub-decls ------------------------------------------------------------------------ r56 | mac | 1998-03-02 17:13:26 -0800 (Mon, 02 Mar 1998) | 5 lines Fixed values in function calls being ommitted from AUTOSENSE Fixed AUTO_TEMPLATE hosed up .sig (sig)); Fixed read-sub-inputs adding ) to signal name. (from wsnyder) ------------------------------------------------------------------------ r55 | mac | 1998-02-26 09:20:07 -0800 (Thu, 26 Feb 1998) | 2 lines Various changes for AUTOSENSE ------------------------------------------------------------------------ r54 | mac | 1998-02-25 14:33:04 -0800 (Wed, 25 Feb 1998) | 18 lines revision 3.7.1.1 date: 1998/02/23 20:17:18; author: wsnyder; state: Exp; lines: +12 -4 verilog-auto-sense-no-inputs sense flipped and defcustomed. ---------------------------- revision 3.6.1.19 date: 1998/02/23 20:17:18; author: wsnyder; state: Exp; lines: +12 -4 Support tasks inside functions. Pass `somethings on inside AUTOINSTs. ---------------------------- revision 3.6.1.18 date: 1998/02/19 15:30:59; author: wsnyder; state: Exp; lines: +6 -2 Don't include strings in autosense ---------------------------- revision 3.6.1.17 date: 1998/02/16 21:06:29; author: wsnyder; state: Exp; lines: +10 -10 Had ' not ` for some places where macro was OK. ---------------------------- ------------------------------------------------------------------------ r53 | mac | 1998-02-24 09:09:16 -0800 (Tue, 24 Feb 1998) | 63 lines Incorporated changes from Wilson Synder ---------------------------- revision 3.6.1.16 date: 1998/02/11 21:32:03; author: wsnyder; state: Exp; lines: +53 -28 Added verilog-error-alist, a list of errors from verilint, vcs, etc that compile-mode can use to jump to line numbers with next-error. ---------------------------- revision 3.6.1.12 date: 1998/02/11 00:19:31; author: wsnyder; state: Exp; lines: +14 -10 Changed wire comments to indicate to/from Fixed deletion bug when killing AUTOWIRE without blank line after it Removed constant signals from AUTOWIRE etc. ---------------------------- revision 3.6.1.11 date: 1998/02/06 19:47:21; author: wsnyder; state: Exp; lines: +28 -23 Renamed arrays to memories, since that's what the manual calls them. Added some missing verilog-keywords. ---------------------------- revision 3.6.1.10 date: 1998/02/02 23:34:56; author: wsnyder; state: Exp; lines: +13 -5 Eliminate supply0/supply1 in AUTOSENSE ---------------------------- revision 3.6.1.9 date: 1998/02/02 23:07:12; author: wsnyder; state: Exp; lines: +7 -4 Allow _ and ' consistently in module and instantiation names. ---------------------------- revision 3.6.1.6 date: 1998/02/02 14:54:33; author: wsnyder; state: Exp; lines: +33 -12 AUTOSENSE now eliminates any memories from the sensitivity list, since such are not allowed in verilog. A comment is inserted when this happens. To support this verilog-read-decls now returns (signal bits comment array) ---------------------------- revision 3.6.1.5 date: 1998/01/30 17:38:47; author: wsnyder; state: Exp; lines: +15 -4 Fixed update autos to with with font-lock-mode in Emacs 19. Before version 20, match-string with font-lock returns a vector that is not equal to the string. We just bag and clear font-lock while doing the autos. ---------------------------- revision 3.6.1.4 date: 1998/01/30 15:53:45; author: wsnyder; state: Exp; lines: +22 -14 Worked around remaining compiler warnings to make it compile clean. Made read-inst-name and read-module-name allow comments between module, instance, and paren. ---------------------------- revision 3.6.1.3 date: 1998/01/30 15:12:41; author: wsnyder; state: Exp; lines: +25 -16 Fixed not checking if AUTOs were in comments before deleting. Fixed //* being multi-line comment Fixed out = sel ? a : b, having b missing in autosense. ---------------------------- revision 3.6.1.2 date: 1998/01/27 14:48:21; author: wsnyder; state: Exp; lines: +17 -14 When looking through the library path, don't create buffers for files that don't exist. ---------------------------- revision 3.6.1.1 date: 1998/01/27 14:36:41; author: wsnyder; state: Exp; lines: +5 -3 Eliminated missing global-replace-regexp in verilog-one-line ------------------------------------------------------------------------ r52 | mac | 1998-01-27 12:58:12 -0800 (Tue, 27 Jan 1998) | 2 lines Base revision for AUTO mdoule port addition to verilog-mode.el ------------------------------------------------------------------------ r51 | mac | 1998-01-27 11:42:54 -0800 (Tue, 27 Jan 1998) | 2 lines replace global-regexp with inline code. ------------------------------------------------------------------------ r50 | mac | 1998-01-26 18:26:23 -0800 (Mon, 26 Jan 1998) | 3 lines incorporated a large change from wsnyder@maker.com (Wilson Snyder), adding AUTO declaration capability. ------------------------------------------------------------------------ r49 | mac | 1998-01-21 10:38:57 -0800 (Wed, 21 Jan 1998) | 3 lines Use verilog-indent-line relative for C-M-\; also fix bug in that routine handling indenting blank continued lines. ------------------------------------------------------------------------ r48 | mac | 1997-11-24 10:45:15 -0800 (Mon, 24 Nov 1997) | 8 lines 1) fix some bugs that prevented new declarations from following the indent of the previous line. 2) add a menu item for editing the colors and styles of fonts used by verilog, "Customize Fonts & Colors Used by Verilog" 3) fix verilog-indent-behavorial to work as advertised 4) make documentation of indent variables a bit more clearer. 5) make verilog-cexp-indent one of those reported by error reporter. ------------------------------------------------------------------------ r47 | mac | 1997-11-14 19:03:28 -0800 (Fri, 14 Nov 1997) | 2 lines Fix comment-indent-function setting. ------------------------------------------------------------------------ r46 | mac | 1997-11-07 15:33:43 -0800 (Fri, 07 Nov 1997) | 2 lines Fix keyword matching problem (FSF emacs only) ------------------------------------------------------------------------ r45 | mac | 1997-10-21 14:13:12 -0700 (Tue, 21 Oct 1997) | 2 lines Fix pr 824 ------------------------------------------------------------------------ r44 | mac | 1997-10-14 22:23:29 -0700 (Tue, 14 Oct 1997) | 6 lines 1) Make work with FSF emacs v20 2) Try to not change the buffer when indenting a line that is already indented correctly. This isn't implemented everywhere, but it is better than it was. ------------------------------------------------------------------------ r43 | mac | 1997-09-16 17:36:06 -0700 (Tue, 16 Sep 1997) | 18 lines * ported to new custom * added support for running verilog/vcs/verilint directly on the buffer * recognize the deassign keyword * make ` part of a word for item scanning * follow comment style when adding more verbiage to a comment /* * here add a * at the begining */ /* here do not */ * match begin-ends in fork reasons correctly * tune a bit the handling of continued lines ------------------------------------------------------------------------ r42 | mac | 1997-05-08 12:17:44 -0700 (Thu, 08 May 1997) | 3 lines conditionally define store-match-data as null macro for folks with older versions of emacs ------------------------------------------------------------------------ r41 | mac | 1997-05-02 15:23:29 -0700 (Fri, 02 May 1997) | 5 lines 1) Clean up some errors that hosed FSF emacs only. 2) Pull more references to optional or new packages into the (eval-when-compile wrapper, so folks don't see error messages when compiling. ------------------------------------------------------------------------ r40 | mac | 1997-05-02 14:14:05 -0700 (Fri, 02 May 1997) | 4 lines 1) optimize some font-lock regular expressions 2) define C-; to insert ;,indent line, and then insert an end-of line comment // 3) fix the case where chained if else if else if else blocks got deeper indents. ------------------------------------------------------------------------ r39 | mac | 1997-04-21 12:35:57 -0700 (Mon, 21 Apr 1997) | 17 lines 1) cleanup of spelling errors (including renaming the variable verilog-indent-level-behavorial to verilog-indent-level-behavioral ) 2) Add pointer to help file on the web 3) some cleanup of font-lock-keywords; in particular, the same strings should be highlighted in current and ancient emacs versions. 4) C-c x where x is a single character is reserved for the user. Therefore I have moved the default key bindings around. C-c C-r now re inserts auto end comments. (was C-c b) C-c C-i now fixes up indent of declarations. (was C-c i) C-c C-b now submits a bug report. (was C-c C b due to a typo...) 5) `ifdef and the like are again recognized and indented correctly. ------------------------------------------------------------------------ r38 | mac | 1997-04-15 16:35:59 -0700 (Tue, 15 Apr 1997) | 12 lines 1) Autoindent a new declaration according to the previous declaration, if any. Only use the previous one, don't try to re line things up. 2) Include "Customize Verilog-Mode" in the Verilog menu bar. Make it safe to do so, even if the underlying emacs does not yet support custom. 3) Include keybinding C-c C-b for reporting bugs. 4) Include keybinding C-c i for reindenting declarations. ------------------------------------------------------------------------ r37 | mac | 1997-04-06 20:48:14 -0700 (Sun, 06 Apr 1997) | 2 lines Cleaned up menubar items; added submit bug report there, for example. ------------------------------------------------------------------------ r36 | mac | 1997-03-28 18:04:48 -0800 (Fri, 28 Mar 1997) | 5 lines 1) Support custom (XEmacs) or defvar method of customization. 2) fix verilog-pretty declarations 3) add support so folks turning up the complexity of commenting don't get errors (they don't get any more complexity either) ------------------------------------------------------------------------ r35 | mac | 1997-03-17 18:35:10 -0800 (Mon, 17 Mar 1997) | 28 lines Numerous changes. 1) Moved installation hints to the web page. 2) Added support for XEmacs's custom variable setting package. 3) Added variables to separatly control indentation of module level items (always, initial. etc) declarations behavorial (the begin in the task & function declaration 4) Attempted to shorten comments and lisp so that the %$#@* NT mailers won't turn long comments into extra code. 5) Used make-regexp to optimize many regular expressions so that they are no longer backtrack. 6) fixed bugs a) a newline on a blank line no longer generates two new lines. b) a semicolon on a comment no longer auto indents c) lines like ''else if (a) begin'' no longer confuse auto commenter d) a number of other bugs which fail to come to mind... 7) Added support for menu pulldowns on FSF and XEmacs 8) Added support for XEmacs v20 9) Changed verilog-comment-region to insert comments that Verilog-XL doesn't b*tch about. 10) Eliminated auto lineup of declarations upon typing newline of semicolon. (Cheers all around) Now instead there is a command, and also a menu pulldown, which lines up indentations around point. 11) Added verilog-submit-bug-report ------------------------------------------------------------------------ r34 | mac | 1996-09-20 13:34:27 -0700 (Fri, 20 Sep 1996) | 9 lines 1) fix so things like if (b) end else if (a) begin end // if (a) are correctly commented. 2) Add default as a colorized key word 3) comments are indented correctly by M-; 4) Tabs are simply inserted if verilog-tab-always-indent is not set. ------------------------------------------------------------------------ r33 | mcnamara | 1996-08-01 19:08:55 -0700 (Thu, 01 Aug 1996) | 5 lines 1) added defparam as a highlighted keyword. 2) endcase is now commented as appropriate for case/casex/casez. 3) endcomments that are truncated truncate whitespace and comments as well. 4) contiuned case items asre lined up better ------------------------------------------------------------------------ r32 | mcnamara | 1996-07-30 17:29:30 -0700 (Tue, 30 Jul 1996) | 5 lines 1) handle declaration lineup when folks have multiple declarations on a line: output foo; reg foo; 2) forward-sexp and backward-sexp understand modules and primitives 3) for (i=0; i < (2*a); i = i + 1); is handled correctly (only last ; casues newline) 4) nested cases are again indented correctly ------------------------------------------------------------------------ r31 | mcnamara | 1996-07-25 17:39:38 -0700 (Thu, 25 Jul 1996) | 3 lines 1) label case items with case: again; change case finder to look for case[xz]?[^:] 2) fix bug in nested case end commenting. ------------------------------------------------------------------------ r30 | mcnamara | 1996-07-25 10:11:01 -0700 (Thu, 25 Jul 1996) | 5 lines 1) Used verilog-leap-to-head in a few more places 2) fixed bug where there was sometimes not set 3) fixed forward and backward-sexp to handle comments and whitespace better 4) removed defined but unused variables ------------------------------------------------------------------------ r29 | mcnamara | 1996-07-24 17:29:47 -0700 (Wed, 24 Jul 1996) | 41 lines 1) Massively sped up the indentation rountines for huge case statements; it used to be order N2 or perhaps N3..(I shudder to think..) and now is nearly linear. 2) This makes C-cb much more useful (updates comments on every end token in the module), so I gussied it up, it prints out progress messages as it goes along 3) Changed comments inserted by autocomment so they look less like keywords: this again greatly speeds up commenting ends in case blocks when the commenter doesn't see all these // case: foo things that look a lot like case (a) type stuff. Again, when you have a thousand arm case statements, an extra thousand false hits hurt... 4) Contined expressions that end in a comma are handled much more nicely. Consider: wire [123123123:123123] g; trireg a; integer a, b, c, d; reg [3:45] affff, boo, caa, d; real a; and case (a) 2asd, 2, 3asdas, 4, a[23], b[23:45] + c, (a+b), g + h, foo: begin a; end ------------------------------------------------------------------------ r28 | mcnamara | 1996-07-23 17:51:40 -0700 (Tue, 23 Jul 1996) | 32 lines 1) added verilog-minimum-comment-distance; end's no further from their beginings than verilog-minimum-comment-distance are not commented 2) Fixed a bug in verilog-re-search-forward with non null BOUND 3) verilog-forward-sexp now works if point is in begin or end 4) commenting of case items no longer copies any comment 5) error is signled if one includes too many `endifs 6) commenting is no longer confused in case items when encountering part selects 7) Deleted code that examined begins as potential parallel code blocks for a fork as it consumed a lot of time, and didn't work anyway. Outstanding bugs: A) indent comma continued expressions nicer: Current: defparm a = 3, b = 2, c = 4, e = 5; Better: defparm a = 3, b = 2, c = 4, e = 5; (applies to case items and varaible declarations as well) B) large case statements take a long time to indent. ------------------------------------------------------------------------ r27 | mcnamara | 1996-07-11 15:43:10 -0700 (Thu, 11 Jul 1996) | 8 lines 1) now a begin after a bare always is indented correctly 2) verilog-label-be (C-cb) works much better; this relabels all end, endcase, endtask, `endif, `else tokens in the current module This can be real useful when you are trying to understand large modules which have evolved over time 3) Stuff that looked like declarations that were inside of comments used to confuse things. ------------------------------------------------------------------------ r26 | mcnamara | 1996-07-10 16:34:24 -0700 (Wed, 10 Jul 1996) | 20 lines 1) re wrote "sample code for .emacs". Please everyone update to this version. Cut out what you might have copied from previous verilog-modes already, and copy the region delimited by HERE and THERE in the new verilog-mode.el. 2) added more types and keywords to the list of highlighted expressions. 3) Added verilog-forward-sexp and verilog-backward-sexp, (bound as is usual to M-C-f and M-C-b; very useful for moving across matched begin..end, case..endcase, and the like. 4) Now delete extra blanks at end of lines in various places. 5) ':' no longer causes indentation anomolies. 6) auto end comments are much smarter. 7) orphaned declarations are indented correctly. ------------------------------------------------------------------------ r25 | mcnamara | 1996-05-24 19:16:43 -0700 (Fri, 24 May 1996) | 5 lines 1) Cleaned up some indent code 2) Give some errors if folks type always in behavorial code ( used to be we would indent strange ) 3) recognize parameter as a declaration; also realtime 4) finaly recognize specify blocks ------------------------------------------------------------------------ r24 | mcnamara | 1996-05-22 14:20:45 -0700 (Wed, 22 May 1996) | 2 lines begin on line after initial now indents correctly ------------------------------------------------------------------------ r23 | mcnamara | 1996-05-20 17:47:57 -0700 (Mon, 20 May 1996) | 2 lines Bug in auto-comments in side a case region where the end doesn't end the case item... ------------------------------------------------------------------------ r22 | mcnamara | 1996-05-20 15:35:26 -0700 (Mon, 20 May 1996) | 11 lines 1) changed my email address 2) fixed a bug in highlight expression for per 19.30 emacen 3) verilog-terminate line eliminates some excess white space at eol 4) verilog-indent behaves more like cc-mode 5) fixed bug introduced in v2.8 "unbalanced [" 6) indent parenthetical ({[]}) expressions by lining up expressions: assign z = ((x & y) | (a & b)); 7) indent correctly after else 8) fixed bug "hugenum" ------------------------------------------------------------------------ r21 | mac | 1996-05-02 17:14:21 -0700 (Thu, 02 May 1996) | 2 lines Enhanced comment indenting ------------------------------------------------------------------------ r20 | mac | 1996-04-26 20:02:28 -0700 (Fri, 26 Apr 1996) | 3 lines Fixed a bug indenting declarations when they are not in a module context ------------------------------------------------------------------------ r19 | mac | 1996-04-24 15:18:11 -0700 (Wed, 24 Apr 1996) | 6 lines 1) Major change is the abandonment of case lining up. It's too error prone at present. The problem is finding the right : (especially given : can be in [msb:lsb] expressions on both sides of the "real" : 2) More font lock stuff; things should work in emacs 19, and Xemacs now. 3) Some more effort to insure verilog snippets indent correctly. ------------------------------------------------------------------------ r18 | mac | 1996-03-27 17:12:20 -0800 (Wed, 27 Mar 1996) | 5 lines 1) added more special case indent of pre processor directives. 2) avoided overflow in regexp matcher for big case items. 3) made auto indent better for "snippets": incomplete verilog that folks like to `include ------------------------------------------------------------------------ r17 | mac | 1996-03-27 13:29:02 -0800 (Wed, 27 Mar 1996) | 8 lines 1) Reorganized varaible definitions 2) added verilog-indent-begin-after-if variable (if true (default) indent begin following if/else/while et cetera) 3) added verilog-auto-indent-on-newline variable (if true (default) indent new line after user hits ^m) 4) added \M-\r which updates end comment 5) work on case item auto comments ------------------------------------------------------------------------ r16 | mac | 1996-03-12 14:35:23 -0800 (Tue, 12 Mar 1996) | 4 lines 1) Moved highlight specific initialization out of this mode, and added comments telling folks how to use it. 2) Added support for udps ------------------------------------------------------------------------ r15 | mac | 1996-03-06 14:00:41 -0800 (Wed, 06 Mar 1996) | 11 lines 1) Add correct table..endtable indentation, and end comments for primitives. 2) move color setting out of verilog-mode; folks should do this in their .emacs 3) set up font lock keywords to work for emacs before 19.30 and after 19.30 4) Change all auto inserted comments to be of the // flavor, leaving the /* */ comments for the user; this lets user comment out blocks of code easily. 5) auto comment for end of else block is now much more useful. ------------------------------------------------------------------------ r14 | mac | 1996-02-20 11:08:06 -0800 (Tue, 20 Feb 1996) | 2 lines First public release ------------------------------------------------------------------------ r13 | mac | 1996-02-15 09:14:23 -0800 (Thu, 15 Feb 1996) | 2 lines Made work with Xemacs. provided verilog-mode. small clean up in regexps ------------------------------------------------------------------------ r12 | mac | 1996-01-25 16:27:25 -0800 (Thu, 25 Jan 1996) | 2 lines missing ) ------------------------------------------------------------------------ r11 | mac | 1996-01-25 13:39:47 -0800 (Thu, 25 Jan 1996) | 25 lines 1) major change is smart auto-end-comments. (Thanks to Nadim.Saeed@amd.com for the suggestion) Basically, much like I was doing at every endcase, now at every end, I parse back to find the reason for this block. I.E., if you had: while (true) begin /* do stuff */ end I insert a comment after the end as follows: /* while (true) */ This greatly enhances readability of code... 2) cleanup of usage of font-lock on dumb terminals 3) macromodule added where appropriate 4) comment indentation cleanups 5) a ; in a for(i = 1; no longer triggers a newline 6) start of work on a indent-line-relative, which would examine only a few lines of code to determine indent level, which would be bound to ; allowing quick entry of source. An occasional which would invoke the expensive ((order N logN) where N is number of lines in the module) verilog-indent-line to get indentation "perfect". 7) some more work on completion. ------------------------------------------------------------------------ r10 | mac | 1996-01-11 15:21:49 -0800 (Thu, 11 Jan 1996) | 3 lines Changed comment at top of file to say "verilog-mode.el" instead of "verilog.el", as I want you to call this file verilog-mode.el ------------------------------------------------------------------------ r9 | mac | 1996-01-11 15:04:24 -0800 (Thu, 11 Jan 1996) | 32 lines 1) Reworked color, borrowing ideas from gnus, so we pick either a light or dark color scheme, based on the background color of the frame. Also made it all work on a monochrome X screen as well. 2) Tuned the auto-indent case feature to handle all the stuff that is legal as a case item. Still doesn't work "right" for nested cases 3) Added verilog-re-search-{forward,backward} which are like their base versions, except they never match in strings or comments of either form; changed most searches to use these. 4) Reworked electric-terminate-line to be faster, and better. 5) Reworked electric-tick to be not zero indent user text macros; just the verilog preprocessor symbols. 6) Re worked set-auto-comments to be more efficient (mostly by eliminating redundant calls to verilog-calculate indent, an expensive function, but also other cleanup) 7) sped up verilog-calcualte-indent 8) sped up verilog-continued-line (used by the above) 9) reorganized and centralized "in-comment" decision code based on more complete understanding of parse-partial-sexp 10) fixed bugs in indent-declaration that caused infinate loops given type names in comments (eg reg, wire, input, et al) ToDo: 1) Handle auto lineup correctly in nested cases. 2) Re think when to do auto line up; (at present, we do it if you ever reindent a declaration or case item; and we reindent all the way back to the nearest previous non declaration or case statement; which is a lose should you have 100 declarations in a row, and you type M-C-\ to reindent region.) 3) Support completion of declared wires and registers; again, need to cache lookup, as rebuilding every time a user trys to complete is a lose. ------------------------------------------------------------------------ r8 | mac | 1996-01-05 18:22:21 -0800 (Fri, 05 Jan 1996) | 3 lines Many changes; the major one is that indent calculation is much faster. Also some colorization changes, and bug fixes ------------------------------------------------------------------------ r7 | mac | 1996-01-03 14:21:24 -0800 (Wed, 03 Jan 1996) | 3 lines Fix some silly typos; for now on I promise to _at least_ byte-compile and load the file before I send it out... ------------------------------------------------------------------------ r6 | mac | 1996-01-03 13:40:50 -0800 (Wed, 03 Jan 1996) | 37 lines Fix a few bugs: a typo, hints to use autoload, incorrect indentation of module as typed, named blocks where not handled. [ Thanks Drew!] There was a bug in the auto endcomment for `else and `endif (comment went to the wrong spot) Changed some features: The auto comments used to add comments like: endmodule /* module: foo */ endfunction /* function: bar */ I deleted the "module:" and "function:" parts as they are rather obvious... The end for named blocks is now marked. Added some features: Now M-C-t (esc tab) does some reasonable completion; it tries to get appropriate keywords based on scope; it tries to get tasks based on scope; it tires to get modules based on scope. eg, module foo; ini fills with initail module barinsky; endmodule module foo; ba fills with barinsky and so on. However, it still needs more work (vestiges of pascal mode remain) ------------------------------------------------------------------------ r5 | mac | 1996-01-03 09:19:02 -0800 (Wed, 03 Jan 1996) | 2 lines Base of publically released version ------------------------------------------------------------------------ r4 | mac | 1996-01-02 18:35:46 -0800 (Tue, 02 Jan 1996) | 2 lines *** empty log message *** ------------------------------------------------------------------------ r3 | mac | 1996-01-02 18:35:24 -0800 (Tue, 02 Jan 1996) | 2 lines *** empty log message *** ------------------------------------------------------------------------ r2 | mac | 1996-01-02 18:34:52 -0800 (Tue, 02 Jan 1996) | 2 lines Initial revision ------------------------------------------------------------------------